-------------------------------------------------------------------------------- TE0820 TE_XFsbl_HookPsuInit_Custom Configure PLL: SI5338-B Si5338 Init Registers Write. Si5338 Init Complete PLL Status Register 218:0x8 USB Reset Complete ETH Reset Complete -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- Xilinx Zynq MP First Stage Boot Loader (TE modified) Release 2019.2 Mar 27 2020 - 16:30:31 Device Name: XCZU2EG -------------------------------------------------------------------------------- TE0820 TE_XFsbl_BoardInit_Custom -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- TE0820 TE_XFsbl_HookBeforeHandoff_Custom -------------------------------------------------------------------------------- HDMI Init 720p60 ADV7511 Initialization Done FSBL: Enabling VTC.. FSBL: Enabling Out VDMA at 0x7FC00000.. -----------------▒▒UjT▒'$HP▒▒ running on XCZU2EG/silicon v4/RTL5.1 at 0xfffea000 NOTICE: BL31: Secure code at 0x0 NOTICE: BL31: Non secure code at 0x8000000 NOTICE: BL31: v2.0(release):xilinx-v2019.1-12-g713dace9 NOTICE: BL31: Built : 07:19:39, Mar 26 2020 PMUFW: v1.1 U-Boot 2019.01 (Mar 26 2020 - 07:20:35 +0000) Board: Xilinx ZynqMP DRAM: 2 GiB EL Level: EL2 Chip ID: zu2eg MMC: mmc@ff160000: 0, mmc@ff170000: 1 In: serial@ff000000 Out: serial@ff000000 Err: serial@ff000000 Board: Xilinx ZynqMP Bootmode: SD_MODE1 Reset reason: SOFT Net: ZYNQ GEM: ff0e0000, phyaddr ffffffff, interface rgmii-id Warning: ethernet@ff0e0000 using MAC address from ROM eth0: ethernet@ff0e0000 U-BOOT for petalinux ethernet@ff0e0000 Waiting for PHY auto negotiation to complete......................................... TIMEOUT ! Hit any key to stop autoboot: 0 Device: mmc@ff160000 Manufacturer ID: 45 OEM: 100 Name: DG400 Bus Speed: 52000000 Mode : MMC High Speed (52MHz) Rd Block Len: 512 MMC version 5.1 High Capacity: Yes Capacity: 7.3 GiB Bus Width: 4-bit Erase Group Size: 512 KiB HC WP Group Size: 8 MiB User Capacity: 7.3 GiB WRREL Boot Capacity: 4 MiB ENH RPMB Capacity: 4 MiB ENH 18114416 bytes read in 1169 ms (14.8 MiB/s) ## Loading kernel from FIT Image at 10000000 ... Using 'conf@system-top.dtb' configuration Trying 'kernel@1' kernel subimage Description: Linux kernel Type: Kernel Image Compression: uncompressed Data Start: 0x10000104 Data Size: 18080256 Bytes = 17.2 MiB Architecture: AArch64 OS: Linux Load Address: 0x00080000 Entry Point: 0x00080000 Hash algo: sha1 Hash value: 80cdaa0321cf3a199bdc7e318cfdf57051d5ae51 Verifying Hash Integrity ... sha1+ OK ## Loading fdt from FIT Image at 10000000 ... Using 'conf@system-top.dtb' configuration Trying 'fdt@system-top.dtb' fdt subimage Description: Flattened Device Tree blob Type: Flat Device Tree Compression: uncompressed Data Start: 0x1113e408 Data Size: 32243 Bytes = 31.5 KiB Architecture: AArch64 Hash algo: sha1 Hash value: 82a4eb49159dcb65b0a59cd4894911082f7f359a Verifying Hash Integrity ... sha1+ OK Booting using the fdt blob at 0x1113e408 Loading Kernel Image ... OK Loading Device Tree to 0000000007ff5000, end 0000000007fffdf2 ... OK Starting kernel ... [ 0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034] [ 0.000000] Linux version 4.19.0-xilinx-v2019.2 (oe-user@oe-host) (gcc version 8.2.0 (GCC)) #1 SMP Mon Mar 23 10:33:35 UTC 2020 [ 0.000000] Machine model: xlnx,zynqmp [ 0.000000] earlycon: cdns0 at MMIO 0x00000000ff000000 (options '115200n8') [ 0.000000] bootconsole [cdns0] enabled [ 0.000000] efi: Getting EFI parameters from FDT: [ 0.000000] efi: UEFI not found. [ 0.000000] cma: Reserved 256 MiB at 0x000000006fc00000 [ 0.000000] psci: probing for conduit method from DT. [ 0.000000] psci: PSCIv1.1 detected in firmware. [ 0.000000] psci: Using standard PSCI v0.2 function IDs [ 0.000000] psci: MIGRATE_INFO_TYPE not supported. [ 0.000000] psci: SMC Calling Convention v1.1 [ 0.000000] random: get_random_bytes called from start_kernel+0x94/0x3f8 with crng_init=0 [ 0.000000] percpu: Embedded 22 pages/cpu @(____ptrval____) s52568 r8192 d29352 u90112 [ 0.000000] Detected VIPT I-cache on CPU0 [ 0.000000] CPU features: enabling workaround for ARM erratum 845719 [ 0.000000] Speculative Store Bypass Disable mitigation not required [ 0.000000] CPU features: detected: Kernel page table isolation (KPTI) [ 0.000000] Built 1 zonelists, mobility grouping on. Total pages: 516110 [ 0.000000] Kernel command line: console=ttyPS0,115200 earlycon clk_ignore_unused earlyprintk root=/dev/mmcblk1p2 rootfstype=ext4 rw rootwait cma=256M [ 0.000000] Dentry cache hash table entries: 262144 (order: 9, 2097152 bytes) [ 0.000000] Inode-cache hash table entries: 131072 (order: 8, 1048576 bytes) [ 0.000000] Memory: 1780408K/2093056K available (10748K kernel code, 632K rwdata, 5400K rodata, 832K init, 316K bss, 50504K reserved, 262144K cma-reserved) [ 0.000000] rcu: Hierarchical RCU implementation. [ 0.000000] rcu: RCU event tracing is enabled. [ 0.000000] rcu: RCU restricting CPUs from NR_CPUS=8 to nr_cpu_ids=4. [ 0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=4 [ 0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0 [ 0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000 [ 0.000000] GIC: Using split EOI/Deactivate mode [ 0.000000] arch_timer: cp15 timer(s) running at 33.33MHz (phys). [ 0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x7b00c47c0, max_idle_ns: 440795202120 ns [ 0.000003] sched_clock: 56 bits at 33MHz, resolution 30ns, wraps every 2199023255541ns [ 0.008195] Console: colour dummy device 80x25 [ 0.012390] Calibrating delay loop (skipped), value calculated using timer frequency.. 66.66 BogoMIPS (lpj=133333) [ 0.022668] pid_max: default: 32768 minimum: 301 [ 0.027357] Mount-cache hash table entries: 4096 (order: 3, 32768 bytes) [ 0.033920] Mountpoint-cache hash table entries: 4096 (order: 3, 32768 bytes) [ 0.041711] ASID allocator initialised with 32768 entries [ 0.046419] rcu: Hierarchical SRCU implementation. [ 0.051382] EFI services will not be available. [ 0.055742] smp: Bringing up secondary CPUs ... [ 0.060400] Detected VIPT I-cache on CPU1 [ 0.060429] CPU1: Booted secondary processor 0x0000000001 [0x410fd034] [ 0.060738] Detected VIPT I-cache on CPU2 [ 0.060756] CPU2: Booted secondary processor 0x0000000002 [0x410fd034] [ 0.061044] Detected VIPT I-cache on CPU3 [ 0.061063] CPU3: Booted secondary processor 0x0000000003 [0x410fd034] [ 0.061106] smp: Brought up 1 node, 4 CPUs [ 0.095585] SMP: Total of 4 processors activated. [ 0.100258] CPU features: detected: 32-bit EL0 Support [ 0.106716] CPU: All CPU(s) started at EL2 [ 0.109436] alternatives: patching kernel code [ 0.114698] devtmpfs: initialized [ 0.120616] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns [ 0.126824] futex hash table entries: 1024 (order: 4, 65536 bytes) [ 0.137994] xor: measuring software checksum speed [ 0.177025] 8regs : 2375.000 MB/sec [ 0.217054] 8regs_prefetch: 2052.000 MB/sec [ 0.257082] 32regs : 2725.000 MB/sec [ 0.297113] 32regs_prefetch: 2309.000 MB/sec [ 0.297154] xor: using function: 32regs (2725.000 MB/sec) [ 0.301462] pinctrl core: initialized pinctrl subsystem [ 0.307260] NET: Registered protocol family 16 [ 0.311407] audit: initializing netlink subsys (disabled) [ 0.316487] audit: type=2000 audit(0.264:1): state=initialized audit_enabled=0 res=1 [ 0.324118] vdso: 2 pages (1 code @ (____ptrval____), 1 data @ (____ptrval____)) [ 0.324122] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers. [ 0.339065] DMA: preallocated 256 KiB pool for atomic allocations [ 0.357834] HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages [ 0.426804] raid6: int64x1 gen() 445 MB/s [ 0.494833] raid6: int64x1 xor() 451 MB/s [ 0.562857] raid6: int64x2 gen() 680 MB/s [ 0.630904] raid6: int64x2 xor() 599 MB/s [ 0.698942] raid6: int64x4 gen() 980 MB/s [ 0.767005] raid6: int64x4 xor() 737 MB/s [ 0.835059] raid6: int64x8 gen() 1162 MB/s [ 0.903089] raid6: int64x8 xor() 759 MB/s [ 0.971125] raid6: neonx1 gen() 735 MB/s [ 1.039156] raid6: neonx1 xor() 880 MB/s [ 1.107254] raid6: neonx2 gen() 1130 MB/s [ 1.175247] raid6: neonx2 xor() 1172 MB/s [ 1.243310] raid6: neonx4 gen() 1479 MB/s [ 1.311353] raid6: neonx4 xor() 1417 MB/s [ 1.379403] raid6: neonx8 gen() 1536 MB/s [ 1.447441] raid6: neonx8 xor() 1459 MB/s [ 1.447479] raid6: using algorithm neonx8 gen() 1536 MB/s [ 1.451439] raid6: .... xor() 1459 MB/s, rmw enabled [ 1.456368] raid6: using neon recovery algorithm [ 1.461623] SCSI subsystem initialized [ 1.464851] usbcore: registered new interface driver usbfs [ 1.470155] usbcore: registered new interface driver hub [ 1.475431] usbcore: registered new device driver usb [ 1.480479] media: Linux media interface: v0.10 [ 1.484937] videodev: Linux video capture interface: v2.00 [ 1.490387] pps_core: LinuxPPS API ver. 1 registered [ 1.495295] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti [ 1.504390] PTP clock support registered [ 1.508287] EDAC MC: Ver: 3.0.0 [ 1.511790] zynqmp-ipi-mbox mailbox@ff990400: Probed ZynqMP IPI Mailbox driver. [ 1.518924] FPGA manager framework [ 1.522192] Advanced Linux Sound Architecture Driver Initialized. [ 1.528352] Bluetooth: Core ver 2.22 [ 1.531639] NET: Registered protocol family 31 [ 1.536038] Bluetooth: HCI device and connection manager initialized [ 1.542353] Bluetooth: HCI socket layer initialized [ 1.547195] Bluetooth: L2CAP socket layer initialized [ 1.552228] Bluetooth: SCO socket layer initialized [ 1.557521] clocksource: Switched to clocksource arch_sys_counter [ 1.563195] VFS: Disk quotas dquot_6.6.0 [ 1.567056] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes) [ 1.574024] simple-framebuffer 7fc00000.framebuffer: framebuffer at 0x7fc00000, 0x384000 bytes, mapped to 0x(____ptrval____) [ 1.585000] simple-framebuffer 7fc00000.framebuffer: format=a8b8g8r8, mode=1280x720x32, linelength=5120 [ 1.605450] Console: switching to colour frame buffer device 160x45 [ 1.616029] simple-framebuffer 7fc00000.framebuffer: fb0: simplefb registered! [ 1.627397] NET: Registered protocol family 2 [ 1.627870] tcp_listen_portaddr_hash hash table entries: 1024 (order: 2, 16384 bytes) [ 1.635352] TCP established hash table entries: 16384 (order: 5, 131072 bytes) [ 1.642604] TCP bind hash table entries: 16384 (order: 6, 262144 bytes) [ 1.649278] TCP: Hash tables configured (established 16384 bind 16384) [ 1.655629] UDP hash table entries: 1024 (order: 3, 32768 bytes) [ 1.661568] UDP-Lite hash table entries: 1024 (order: 3, 32768 bytes) [ 1.668053] NET: Registered protocol family 1 [ 1.672469] RPC: Registered named UNIX socket transport module. [ 1.678144] RPC: Registered udp transport module. [ 1.682810] RPC: Registered tcp transport module. [ 1.687482] RPC: Registered tcp NFSv4.1 backchannel transport module. [ 1.694606] hw perfevents: no interrupt-affinity property for /pmu, guessing. [ 1.701122] hw perfevents: enabled with armv8_pmuv3 PMU driver, 7 counters available [ 1.709492] Initialise system trusted keyrings [ 1.713150] workingset: timestamp_bits=62 max_order=19 bucket_order=0 [ 1.720162] NFS: Registering the id_resolver key type [ 1.724514] Key type id_resolver registered [ 1.728655] Key type id_legacy registered [ 1.732639] nfs4filelayout_init: NFSv4 File Layout Driver Registering... [ 1.739306] jffs2: version 2.2. (NAND) © 2001-2006 Red Hat, Inc. [ 2.826931] NET: Registered protocol family 38 [ 2.884727] Key type asymmetric registered [ 2.884765] Asymmetric key parser 'x509' registered [ 2.888064] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 247) [ 2.895389] io scheduler noop registered [ 2.899278] io scheduler deadline registered [ 2.903534] io scheduler cfq registered (default) [ 2.908188] io scheduler mq-deadline registered [ 2.912685] io scheduler kyber registered [ 2.944612] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled [ 2.948249] cacheinfo: Unable to detect cache hierarchy for CPU 0 [ 2.956077] brd: module loaded [ 2.960312] loop: module loaded [ 2.961053] mtdoops: mtd device (mtddev=name/number) must be supplied [ 2.965502] libphy: Fixed MDIO Bus: probed [ 2.969506] tun: Universal TUN/TAP device driver, 1.6 [ 2.973418] CAN device driver interface [ 2.977996] usbcore: registered new interface driver asix [ 2.982511] usbcore: registered new interface driver ax88179_178a [ 2.988551] usbcore: registered new interface driver cdc_ether [ 2.994345] usbcore: registered new interface driver net1080 [ 2.999970] usbcore: registered new interface driver cdc_subset [ 3.005850] usbcore: registered new interface driver zaurus [ 3.011395] usbcore: registered new interface driver cdc_ncm [ 3.017448] ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver [ 3.023475] ehci-pci: EHCI PCI platform driver [ 3.028129] usbcore: registered new interface driver uas [ 3.033196] usbcore: registered new interface driver usb-storage [ 3.039639] rtc_zynqmp ffa60000.rtc: rtc core: registered ffa60000.rtc as rtc0 [ 3.046360] i2c /dev entries driver [ 3.051224] usbcore: registered new interface driver uvcvideo [ 3.055480] USB Video Class driver (1.1.1) [ 3.060063] Bluetooth: HCI UART driver ver 2.3 [ 3.063961] Bluetooth: HCI UART protocol H4 registered [ 3.069060] Bluetooth: HCI UART protocol BCSP registered [ 3.074356] Bluetooth: HCI UART protocol LL registered [ 3.079439] Bluetooth: HCI UART protocol ATH3K registered [ 3.084822] Bluetooth: HCI UART protocol Three-wire (H5) registered [ 3.091068] Bluetooth: HCI UART protocol Intel registered [ 3.096411] Bluetooth: HCI UART protocol QCA registered [ 3.101613] usbcore: registered new interface driver bcm203x [ 3.107232] usbcore: registered new interface driver bpa10x [ 3.112770] usbcore: registered new interface driver bfusb [ 3.118219] usbcore: registered new interface driver btusb [ 3.123641] Bluetooth: Generic Bluetooth SDIO driver ver 0.1 [ 3.129309] usbcore: registered new interface driver ath3k [ 3.134829] EDAC MC: ECC not enabled [ 3.138373] EDAC DEVICE0: Giving out device to module edac controller cache_err: DEV edac (POLLED) [ 3.147331] EDAC DEVICE1: Giving out device to module zynqmp-ocm-edac controller zynqmp_ocm: DEV ff960000.memory-controller (INTERRUPT) [ 3.159519] sdhci: Secure Digital Host Controller Interface driver [ 3.165420] sdhci: Copyright(c) Pierre Ossman [ 3.169744] sdhci-pltfm: SDHCI platform and OF driver helper [ 3.175652] ledtrig-cpu: registered to indicate activity on CPUs [ 3.181379] zynqmp_firmware_probe Platform Management API v1.1 [ 3.187136] zynqmp_firmware_probe Trustzone version v1.0 [ 3.215514] zynqmp_clk_mux_get_parent() getparent failed for clock: lpd_wdt, ret = -22 [ 3.218180] alg: No test for xilinx-zynqmp-aes (zynqmp-aes) [ 3.223330] zynqmp_aes zynqmp_aes: AES Successfully Registered [ 3.223330] [ 3.230883] alg: No test for xilinx-keccak-384 (zynqmp-keccak-384) [ 3.236961] alg: No test for xilinx-zynqmp-rsa (zynqmp-rsa) [ 3.242543] usbcore: registered new interface driver usbhid [ 3.247884] usbhid: USB HID core driver [ 3.253880] fpga_manager fpga0: Xilinx ZynqMP FPGA Manager registered [ 3.258438] usbcore: registered new interface driver snd-usb-audio [ 3.265027] pktgen: Packet Generator for packet performance testing. Version: 2.75 [ 3.272108] Initializing XFRM netlink socket [ 3.276063] NET: Registered protocol family 10 [ 3.280775] Segment Routing with IPv6 [ 3.284126] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver [ 3.290254] NET: Registered protocol family 17 [ 3.294341] NET: Registered protocol family 15 [ 3.298754] bridge: filtering via arp/ip/ip6tables is no longer available by default. Update your scripts to load br_netfilter if you need this. [ 3.311668] can: controller area network core (rev 20170425 abi 9) [ 3.317803] NET: Registered protocol family 29 [ 3.322185] can: raw protocol (rev 20170425) [ 3.326422] can: broadcast manager protocol (rev 20170425 t) [ 3.332047] can: netlink gateway (rev 20170425) max_hops=1 [ 3.337559] Bluetooth: RFCOMM TTY layer initialized [ 3.342345] Bluetooth: RFCOMM socket layer initialized [ 3.347463] Bluetooth: RFCOMM ver 1.11 [ 3.351166] Bluetooth: BNEP (Ethernet Emulation) ver 1.3 [ 3.356437] Bluetooth: BNEP filters: protocol multicast [ 3.361630] Bluetooth: BNEP socket layer initialized [ 3.366558] Bluetooth: HIDP (Human Interface Emulation) ver 1.2 [ 3.372442] Bluetooth: HIDP socket layer initialized [ 3.377474] 9pnet: Installing 9P2000 support [ 3.381625] Key type dns_resolver registered [ 3.386346] registered taskstats version 1 [ 3.389920] Loading compiled-in X.509 certificates [ 3.394996] Btrfs loaded, crc32c=crc32c-generic [ 3.405534] ff000000.serial: ttyPS0 at MMIO 0xff000000 (irq = 41, base_baud = 6249999) is a xuartps [ 3.414542] console [ttyPS0] enabled [ 3.414542] console [ttyPS0] enabled [ 3.418142] bootconsole [cdns0] disabled [ 3.418142] bootconsole [cdns0] disabled [ 3.426407] of-fpga-region fpga-full: FPGA Region probed [ 3.435376] xilinx-zynqmp-dma fd500000.dma: ZynqMP DMA driver Probe success [ 3.442484] xilinx-zynqmp-dma fd510000.dma: ZynqMP DMA driver Probe success [ 3.449595] xilinx-zynqmp-dma fd520000.dma: ZynqMP DMA driver Probe success [ 3.456693] xilinx-zynqmp-dma fd530000.dma: ZynqMP DMA driver Probe success [ 3.463792] xilinx-zynqmp-dma fd540000.dma: ZynqMP DMA driver Probe success [ 3.470895] xilinx-zynqmp-dma fd550000.dma: ZynqMP DMA driver Probe success [ 3.477995] xilinx-zynqmp-dma fd560000.dma: ZynqMP DMA driver Probe success [ 3.485095] xilinx-zynqmp-dma fd570000.dma: ZynqMP DMA driver Probe success [ 3.492267] xilinx-zynqmp-dma ffa80000.dma: ZynqMP DMA driver Probe success [ 3.499368] xilinx-zynqmp-dma ffa90000.dma: ZynqMP DMA driver Probe success [ 3.506467] xilinx-zynqmp-dma ffaa0000.dma: ZynqMP DMA driver Probe success [ 3.513574] xilinx-zynqmp-dma ffab0000.dma: ZynqMP DMA driver Probe success [ 3.520672] xilinx-zynqmp-dma ffac0000.dma: ZynqMP DMA driver Probe success [ 3.527776] xilinx-zynqmp-dma ffad0000.dma: ZynqMP DMA driver Probe success [ 3.534875] xilinx-zynqmp-dma ffae0000.dma: ZynqMP DMA driver Probe success [ 3.541977] xilinx-zynqmp-dma ffaf0000.dma: ZynqMP DMA driver Probe success [ 3.551761] m25p80 spi0.0: n25q512a (131072 Kbytes) [ 3.556657] 3 fixed-partitions partitions found on MTD device spi0.0 [ 3.563000] Creating 3 MTD partitions on "spi0.0": [ 3.567784] 0x000000000000-0x000000100000 : "boot" [ 3.573061] 0x000000100000-0x000000140000 : "bootenv" [ 3.578514] 0x000000140000-0x000001740000 : "kernel" [ 3.584263] macb ff0e0000.ethernet: Not enabling partial store and forward [ 3.591688] libphy: MACB_mii_bus: probed [ 3.595613] [Firmware Warn]: /amba/ethernet@ff0e0000/ethernet-phy@0: Whitelisted compatible string. Please remove [ 3.606016] zynqmp_pll_disable() clock disable failed for dpll_int, ret = -13 [ 3.681747] Marvell 88E1510 ff0e0000.ethernet-ffffffff:01: attached PHY driver [Marvell 88E1510] (mii_bus:phy_addr=ff0e0000.ethernet-ffffffff:01, irq=POLL) [ 3.695656] macb ff0e0000.ethernet eth0: Cadence GEM rev 0x50070106 at 0xff0e0000 irq 29 (d8:47:8f:96:13:8f) [ 3.705800] xilinx-axipmon ffa00000.perf-monitor: Probed Xilinx APM [ 3.712324] xilinx-axipmon fd0b0000.perf-monitor: Probed Xilinx APM [ 3.718807] xilinx-axipmon fd490000.perf-monitor: Probed Xilinx APM [ 3.725279] xilinx-axipmon ffa10000.perf-monitor: Probed Xilinx APM [ 3.731771] dwc3-of-simple ff9d0000.usb0: dwc3_simple_set_phydata: Can't find usb3-phy [ 3.740034] dwc3 fe200000.dwc3: Failed to get clk 'ref': -2 [ 3.746175] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller [ 3.751665] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 1 [ 3.759661] xhci-hcd xhci-hcd.0.auto: hcc params 0x0238f625 hci version 0x100 quirks 0x0000000202010010 [ 3.769074] xhci-hcd xhci-hcd.0.auto: irq 45, io mem 0xfe200000 [ 3.775195] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 4.19 [ 3.783458] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 3.790675] usb usb1: Product: xHCI Host Controller [ 3.795544] usb usb1: Manufacturer: Linux 4.19.0-xilinx-v2019.2 xhci-hcd [ 3.802236] usb usb1: SerialNumber: xhci-hcd.0.auto [ 3.807455] hub 1-0:1.0: USB hub found [ 3.811215] hub 1-0:1.0: 1 port detected [ 3.815310] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller [ 3.820794] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 2 [ 3.828449] xhci-hcd xhci-hcd.0.auto: Host supports USB 3.0 SuperSpeed [ 3.835097] usb usb2: We don't know the algorithms for LPM for this host, disabling LPM. [ 3.843260] usb usb2: New USB device found, idVendor=1d6b, idProduct=0003, bcdDevice= 4.19 [ 3.851515] usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 3.858726] usb usb2: Product: xHCI Host Controller [ 3.863597] usb usb2: Manufacturer: Linux 4.19.0-xilinx-v2019.2 xhci-hcd [ 3.870289] usb usb2: SerialNumber: xhci-hcd.0.auto [ 3.875391] hub 2-0:1.0: USB hub found [ 3.879152] hub 2-0:1.0: 1 port detected [ 3.884261] at24 0-0050: 1024 byte 24c08 EEPROM, writable, 1 bytes/write [ 3.890988] cdns-i2c ff020000.i2c: 400 kHz mmio ff020000 irq 31 [ 3.897329] cdns-i2c ff030000.i2c: 400 kHz mmio ff030000 irq 32 [ 3.903592] cdns-wdt fd4d0000.watchdog: Xilinx Watchdog Timer with timeout 60s [ 3.911028] cdns-wdt ff150000.watchdog: Xilinx Watchdog Timer with timeout 10s [ 3.949725] mmc0: SDHCI controller on ff160000.mmc [ff160000.mmc] using ADMA 64-bit [ 3.994523] mmc1: SDHCI controller on ff170000.mmc [ff170000.mmc] using ADMA 64-bit [ 4.010140] rtc_zynqmp ffa60000.rtc: setting system clock to 2023-03-02 13:00:57 UTC (1677762057) [ 4.019005] of_cfs_init [ 4.021450] of_cfs_init: OK [ 4.024341] cfg80211: Loading compiled-in X.509 certificates for regulatory database [ 4.037246] mmc0: new HS200 MMC card at address 0001 [ 4.042803] mmcblk0: mmc0:0001 DG4008 7.28 GiB [ 4.047777] mmcblk0boot0: mmc0:0001 DG4008 partition 1 4.00 MiB [ 4.054125] mmcblk0boot1: mmc0:0001 DG4008 partition 2 4.00 MiB [ 4.060135] mmcblk0rpmb: mmc0:0001 DG4008 partition 3 4.00 MiB, chardev (244:0) [ 4.095232] mmc1: new high speed SDHC card at address 0001 [ 4.101219] mmcblk1: mmc1:0001 SD32G 28.9 GiB [ 4.106652] mmcblk1: p1 p2 [ 4.164479] cfg80211: Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7' [ 4.165532] usb 1-1: new full-speed USB device number 2 using xhci-hcd [ 4.171008] clk: Not disabling unused clocks [ 4.181781] ALSA device list: [ 4.184731] No soundcards found. [ 4.188375] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2 [ 4.196983] cfg80211: failed to load regulatory.db [ 4.213166] EXT4-fs (mmcblk1p2): mounted filesystem with ordered data mode. Opts: (null) [ 4.221272] VFS: Mounted root (ext4 filesystem) on device 179:26. [ 4.234507] devtmpfs: mounted [ 4.237703] Freeing unused kernel memory: 832K [ 4.261548] Run /sbin/init as init process [ 4.340547] random: fast init done [ 4.343959] usb 1-1: New USB device found, idVendor=046d, idProduct=c534, bcdDevice=51.00 [ 4.352147] usb 1-1: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 4.359277] usb 1-1: Product: USB Receiver [ 4.363372] usb 1-1: Manufacturer: Logitech [ 4.398654] input: Logitech USB Receiver as /devices/platform/amba/ff9d0000.usb0/fe200000.dwc3/xhci-hcd.0.auto/usb1/1-1/1-1:1.0/0003:046D:C534.0001/input/input0 [ 4.469956] hid-generic 0003:046D:C534.0001: input: USB HID v1.11 Keyboard [Logitech USB Receiver] on usb-xhci-hcd.0.auto-1/input0 [ 4.498247] input: Logitech USB Receiver Mouse as /devices/platform/amba/ff9d0000.usb0/fe200000.dwc3/xhci-hcd.0.auto/usb1/1-1/1-1:1.1/0003:046D:C534.0002/input/input1 [ 4.513323] input: Logitech USB Receiver Consumer Control as /devices/platform/amba/ff9d0000.usb0/fe200000.dwc3/xhci-hcd.0.auto/usb1/1-1/1-1:1.1/0003:046D:C534.0002/input/input2 [ 4.585725] input: Logitech USB Receiver System Control as /devices/platform/amba/ff9d0000.usb0/fe200000.dwc3/xhci-hcd.0.auto/usb1/1-1/1-1:1.1/0003:046D:C534.0002/input/input3 [ 4.601461] hid-generic 0003:046D:C534.0002: input: USB HID v1.11 Mouse [Logitech USB Receiver] on usb-xhci-hcd.0.auto-1/input1 [ 4.762682] systemd[1]: systemd 237 running in system mode. (+PAM +AUDIT +SELINUX +IMA +APPARMOR +SMACK +SYSVINIT +UTMP +LIBCRYPTSETUP +GCRYPT +GNUTLS +ACL +XZ +LZ4 +SECCOMP +BLKID +ELFUTILS +KMOD -IDN2 +IDN -PCRE2 default-hierarchy=hybrid) [ 4.787591] systemd[1]: Detected architecture arm64. Welcome to Ubuntu 18.04.6 LTS! [ 4.814240] systemd[1]: Set hostname to . [ 5.224115] systemd[1]: File /lib/systemd/system/systemd-journald.service:36 configures an IP firewall (IPAddressDeny=any), but the local system does not support BPF/cgroup based firewalling. [ 5.241169] systemd[1]: Proceeding WITHOUT firewalling in effect! (This warning is only shown for the first loaded unit using IP firewalling.) [ 5.424912] random: systemd: uninitialized urandom read (16 bytes read) [ 5.431565] systemd[1]: Reached target User and Group Name Lookups. [ OK ] Reached target User and Group Name Lookups. [ 5.449586] random: systemd: uninitialized urandom read (16 bytes read) [ 5.456237] systemd[1]: Reached target Swap. [ OK ] Reached target Swap. [ 5.469566] random: systemd: uninitialized urandom read (16 bytes read) [ 5.476288] systemd[1]: Started Forward Password Requests to Wall Directory Watch. [ OK ] Started Forward Password Requests to Wall Directory Watch. [ 5.501796] systemd[1]: Created slice User and Session Slice. [ OK ] Created slice User and Session Slice. [ 5.517615] systemd[1]: Reached target System Time Synchronized. [ OK ] Reached target System Time Synchronized. [ OK ] Reached target Remote File Systems. [ OK ] Created slice System Slice. [ OK ] Reached target Slices. [ OK ] Listening on fsck to fsckd communication Socket. [ OK ] Created slice system-systemd\x2dfsck.slice. [ OK ] Listening on Journal Socket (/dev/log). [ OK ] Listening on udev Control Socket. [ OK ] Listening on Journal Audit Socket. [ OK ] Listening on Journal Socket. Mounting POSIX Message Queue File System... Starting Load Kernel Modules... Mounting Kernel Debug File System... Starting Set the console keyboard layout... Mounting Huge Pages File System... [ OK ] Listening on /dev/initctl Compatibility Named Pipe. Starting Journal Service... Starting Create Static Device Nodes in /dev... [ OK ] Created slice system-serial\x2dgetty.slice. Starting Remount Root and Kernel File Systems... [ 5.809498] EXT4-fs (mmcblk1p2): re-mounted. Opts: errors=remount-ro [ OK ] Listening on udev Kernel Socket. Starting udev Coldplug all Devices... [ OK ] Started Journal Service. [ OK ] Mounted POSIX Message Queue File System. [ OK ] Started Load Kernel Modules. [ OK ] Mounted Kernel Debug File System. [ OK ] Mounted Huge Pages File System. [ OK ] Started Create Static Device Nodes in /dev. [ OK ] Started Remount Root and Kernel File Systems. Starting Load/Save Random Seed... Starting udev Kernel Device Manager... Starting Apply Kernel Variables... Mounting Kernel Configuration File System... Starting Flush Journal to Persistent Storage... [ OK ] Started Load/Save Random Seed. [ OK ] Started Apply Kernel Variables. [ OK ] Mounted Kernel Configuration File System. [ OK ] Started Set the console keyboard layout. [ OK ] Reached target Local File Systems (Pre). [ OK ] Started udev Kernel Device Manager. [ OK ] Started udev Coldplug all Devices. [ OK ] Started Dispatch Password Requests to Console Directory Watch. [ OK ] Reached target Paths. [ OK ] Reached target Local Encrypted Volumes. [ OK ] Found device /dev/ttyPS0. [ OK ] Started Flush Journal to Persistent Storage. [ OK ] Found device /dev/mmcblk1p1. Starting File System Check on /dev/mmcblk1p1... [ OK ] Listening on Load/Save RF Kill Switch Status /dev/rfkill Watch. [ OK ] Started File System Check Daemon to report status. [ OK ] Started File System Check on /dev/mmcblk1p1. Mounting /media/sdcard... [ OK ] Mounted /media/sdcard. [ OK ] Reached target Local File Systems. Starting Tell Plymouth To Write Out Runtime Data... Starting Create Volatile Files and Directories... Starting Set console font and keymap... [ OK ] Started Tell Plymouth To Write Out Runtime Data. [ OK ] Started Set console font and keymap. [ OK ] Started Create Volatile Files and Directories. Starting Network Name Resolution... Starting Update UTMP about System Boot/Shutdown... [ OK ] Started Update UTMP about System Boot/Shutdown. [ OK ] Reached target System Initialization. [ OK ] Started Discard unused blocks once a week. [ OK ] Started Daily Cleanup of Temporary Directories. [ OK ] Started Message of the Day. [ OK ] Listening on Avahi mDNS/DNS-SD Stack Activation Socket. [ OK ] Started Daily apt download activities. [ OK ] Started Trigger anacron every hour. [ OK ] Started Daily apt upgrade and clean activities. [ OK ] Reached target Timers. [ OK ] Listening on D-Bus System Message Bus Socket. [ OK ] Reached target Sockets. [ OK ] Reached target Basic System. Starting Avahi mDNS/DNS-SD Stack... Starting LSB: Start SDDM... [ OK ] Started D-Bus System Message Bus. Starting WPA supplicant... Starting LSB: IPv4 DHCP client with IPv4LL support... Starting LSB: Speech Dispatcher... Starting Network Manager... [ OK ] Started Run anacron jobs. [ OK ] Started Set the CPU Frequency Scaling governor. Starting Login Service... Starting Accounts Service... Starting Disk Manager... [ OK ] Started Regular background program processing daemon. [ OK ] Started Network Name Resolution. [ OK ] Started LSB: Speech Dispatcher. [ OK ] Started Avahi mDNS/DNS-SD Stack. [ OK ] Started Login Service. [ OK ] Reached target Host and Network Name Lookups. [ OK ] Started WPA supplicant. [ OK ] Started LSB: Start SDDM. [ OK ] Started LSB: IPv4 DHCP client with IPv4LL support. Starting Authorization Manager... [ OK ] Started Authorization Manager. [ OK ] Started Accounts Service. [ OK ] Started Disk Manager. Starting Hostname Service... [ OK ] Started Hostname Service. [ OK ] Started Network Manager. Starting Network Manager Script Dispatcher Service... Starting Network Manager Wait Online... [ OK ] Reached target Network. Starting Samba Winbind Daemon... Starting OpenBSD Secure Shell server... Starting Network Time Service... Starting Permit User Sessions... [ OK ] Started Permit User Sessions. [ OK ] Started Network Manager Script Dispatcher Service. Starting Hold until boot process finishes up... Starting Light Display Manager... [ OK ] Started Hold until boot process finishes up. [ OK ] Started Serial Getty on ttyPS0. Starting Set console scheme... [ OK ] Started Set console scheme. [ OK ] Created slice system-getty.slice. [ OK ] Started Getty on tty1. [ OK ] Reached target Login Prompts. [ OK ] Started Network Time Service. [ OK ] Started OpenBSD Secure Shell server. [ OK ] Started Light Display Manager. [ OK ] Started Samba Winbind Daemon. [ OK ] Created slice User Slice of lightdm. Starting User Manager for UID 114... [ OK ] Started Session c1 of user lightdm. Ubuntu 18.04.6 LTS zynqmp ttyPS0 zynqmp login: root Password: Last login: Thu Mar 2 14:00:02 CET 2023 on ttyPS0 Welcome to Ubuntu 18.04.6 LTS (GNU/Linux 4.19.0-xilinx-v2019.2 aarch64) * Documentation: https://help.ubuntu.com * Management: https://landscape.canonical.com * Support: https://ubuntu.com/pro Expanded Security Maintenance for Infrastructure is not enabled. 0 updates can be applied immediately. 142 additional security updates can be applied with ESM Infra. Learn more about enabling ESM Infra service for Ubuntu 18.04 at https://ubuntu.com/18-04 root@zynqmp:~#