Trenz Electronic GmbH Support Forum

Trenz Electronic Products => Trenz Electronic FPGA Modules => Topic started by: johnabel on August 20, 2019, 11:30:07 AM

Title: Si5338 configuration
Post by: johnabel on August 20, 2019, 11:30:07 AM
How can I send a new configuration to the Si5338 from a TE0803?
There are lots of registers to set... Should I use the SiLabs clock builder (hopefully generates all the data I can copy-paste in the code)?
The starter Kit software project has a te_5338_init function, can I modify it to include all the config data?
Title: Re: Si5338 configuration
Post by: JH on August 20, 2019, 11:57:23 AM
Hi,
the procedure integrated into the reference design:
https://wiki.trenz-electronic.de/display/PD/TE0803+StarterKit
template project for clock builder pro is included:
https://wiki.trenz-electronic.de/display/PD/TE0803+StarterKit#TE0803StarterKit-SI5338
https://wiki.trenz-electronic.de/display/PD/Si5338
generate your own configuration file and export the C header file.
Replace the SI header file in the FSBL template:
https://wiki.trenz-electronic.de/display/PD/TE0803+StarterKit#TE0803StarterKit-zynqmp_fsbl.1
and generate the fsbl.
br
John
Title: Re: Si5338 configuration
Post by: johnabel on August 20, 2019, 03:50:12 PM
Easier than I thought, seems to work (compiler-wise so far)
Thanks, John