Trenz Electronic GmbH Support Forum

Trenz Electronic Products => Trenz Electronic FPGA Modules => Topic started by: goli12 on November 14, 2017, 04:14:36 AM

Title: TE0712 SI5338 default configuration.
Post by: goli12 on November 14, 2017, 04:14:36 AM
Hi,

I have recently purchased a TE0712-100T for my custom carrier board and uploaded the "Test board" Vivado 2017.2 project.
In addition, I have added an RTL module into the design which takes in a clock input and turns on an LED when bit 25 on a counter is 1. The VHDL code is pasted below.

From testing, I am able to turn on the LED by attaching to a constant high block in Vivado. However, my LED is not blinking with a clock from the MIG clock output while using my own RTL module. Further debugging shows that there is no output from any of the clock outputs on the SI5338.
I was wondering if Trenz Electronics have their own default configuration on the NVM of the SI5338, or is it up to the user to develop their own
bare-metal code to set up the "default configuration" shown in the clocking section of the TE0712 TRM?

Regards
Andrew

Quote
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;


entity led_test is
    Port ( clk : in STD_LOGIC;
           led : out STD_LOGIC);
end led_test;

architecture Behavioral of led_test is
    constant max_count : natural := 48000000;
    signal count : unsigned(32 downto 0) := (others => '0');
    signal rst : std_logic;
begin
    rst <= '0';
   
    compteur : process(clk,rst)
    begin
        if rst = '1' then
            count <= (others=>'0');
        elsif rising_edge(clk) then
            count <= count + 1;
        end if;
    end process compteur;
   
led <= STD_LOGIC(count(25));


end Behavioral;
Title: Re: TE0712 SI5338 default configuration.
Post by: JH on November 14, 2017, 09:35:28 AM
Hi,

Si5338 has on TE0712 has default configuration:
It's possible to reconfigure SI5338 over I2C. We have no example online. But it's planned.
br
John
Title: Re: TE0712 SI5338 default configuration.
Post by: goli12 on November 14, 2017, 09:39:03 AM
Hi John

Thank you for the reply. Does this mean that the Si5338 loads the default configuration during powering of the TE0712 module?

Regards
Andrew
Title: Re: TE0712 SI5338 default configuration.
Post by: JH on November 14, 2017, 09:43:15 AM
Hi,

yes. You can check for example MGT RefCLK with  our example design.
Description
Download

br
John
Title: Re: TE0712 SI5338 default configuration.
Post by: goli12 on November 14, 2017, 10:57:27 AM
Hi John

again, thank you for the quick reply. The reference design looks promising. I have one more question, what Is the default input for the s5338? Would it be the onboard 25Mhz clock or input 1 and 2? I potentially want to use a PCIe 100Mhz clock as the input instead of the onboard crystal so the PCIe FPGA firmware would be in sync.

Regards
Andrew
Title: Re: TE0712 SI5338 default configuration.
Post by: JH on November 14, 2017, 12:57:06 PM
Hi,
SI5338 use 25MHz, but can also select external source:
But there is also a second MGT REFCLK available on B2B:
br
John