Trenz Electronic GmbH Support Forum

Trenz Electronic Products => Trenz Electronic FPGA Modules => Topic started by: Viorel on March 18, 2015, 10:12:08 PM

Title: TE0701+TE0712 pinout
Post by: Viorel on March 18, 2015, 10:12:08 PM
Hello,

looking at the schematics ( SCH-TE0701-05.PDF and SCH-TE0712-01.PDF ) I noticed that FMC_CLK0_P (on TE0701) is connected to B16_L13_N (on XC7A200T). Is this correct ?
I am asking because the name of the FMC signal implies it should be connected to the P side of a clock capable pin.

Best regards,
Viorel
Title: Re: TE0701+TE0712 pinout
Post by: Antti Lukats on March 19, 2015, 09:32:01 AM
I will read VITA 57.1 once more, but I think it only talks about DIFFERENTIAL clocks, not at all about single ended clocks.

So compliant FMC cards should use only differential clocks, but unfortunately not all FMC card vendors comply.
Title: Re: TE0701+TE0712 pinout
Post by: Viorel on March 19, 2015, 09:50:17 AM
Also the FMC_CLK0_N signal is connected to B16_L13_P so a differential clock ( on the FMC_CLK0 pair ) will appear inverted in the FPGA. I am just asking to make sure that this is really the case. In the schematics I can also see the connections to TE0720. In that case FMC_CLK0_P does to the P side and FMC_CLK0_N goes to the N side.

Best regards,
Viorel
Title: Re: TE0701+TE0712 pinout
Post by: Antti Lukats on March 19, 2015, 04:31:24 PM
Yes this is the case, confirmed.