News:

Attention: For security reasons,please choose a user name *different* from your login name.
Also make sure to choose a secure password and change it regularly.

Main Menu

Boot from SD on TE0820 (carrier board TE0705)

Started by r.brue, November 19, 2020, 12:02:41 PM

Previous topic - Next topic

r.brue

I have a carrier board TE0705 with a SOM UltraScale+ (TE0820) and I've built a PetaLinux image.
I've prepared a SD card by creating a FAT32 partition and copying the produced PetaLinux "BOOT.BIN" and "image.ub" files.

Now I've placed the SD so prepared in the SD card slot in the TE0705, powered-up but nothing on the JTAG. I don't see anything (baudrate 115200).

Do I have so set any S3 or S4 (switches)?
Is the SD so prepared right?

Thank you.

JH

Hi,
boot mode should be set automatically to SD when you inserd SD:
https://wiki.trenz-electronic.de/display/PD/TE0705+CPLD#TE0705CPLD-Bootmode
We offer a reference design with prebuilt binaries for the different assembly options, can you try out this please:
https://wiki.trenz-electronic.de/display/PD/TE0820+Test+Board
--> you must select the correct assembly option, design includes different variants:
https://wiki.trenz-electronic.de/display/PD/TE0820+Test+Board#TE0820TestBoard-Hardware

br
John

r.brue

Hi, thank you for the reply but still no luck, no console is shown on the JTAG (J7) connector.
I've copied inside the FAT32 partition of my SD card the following files:

  • prebuilt>os>petalinux>2GB>image.ub
  • prebuilt>boot_images>2eg_1e_2gb>u-boot>BOOT.bin

What am I doing wrong?

Switch S3 position (0010).

JH

Which TE0820 did you bough? Did you select correct files for your assembly variant? Can you tell me the whole article number?

Your S3 DIP is OK for TE0820 .
You has set J21 Jumper to 2-3 position?:
https://wiki.trenz-electronic.de/display/PD/TE0705+TRM#TE0705TRM-ConfiguringVCCIO

Which external power supply did you use? Some current limit?

br
John

r.brue

J21 is ok (2-3 position), external power supply is ok (3A, 12V). Product model: TE0820-03-2BE21FA, board: TE0705-04.

JH

Hi,
2eg_1e_2gb design for TE0820-03-2BE21FA is correct.

Did you use prebuilt files from the newest test board reference design? -->  TE0820-test_board-vivado_2019.2-build_10_20200408073444.zip

When you connect Vivado HW Manager, what did you see? ARM_DAP and FPGA (FPGA Part programmed or not)? Important, not dummy_dap, in this case you PS has a problem! Can you send me a screenshot?

Which SD card did you use?
Did you use some SD card from this list? https://www.xilinx.com/support/answers/66779.html

br
John

r.brue

Yes I'm using "TE0820-test_board-vivado_2019.2-build_10_20200408073444.zip".
From Vivado 2019.1.3 I can program the FPGA correctly.
I attach the screenshot.

The SD card is a Samsung 32GB U1.

JH



How did you formate your SD? Please use at first one partition with fat32 formate?
What did you put on the partition? Boot.bin and image.ub?

Do you have some other SD card, which you can try out?

There are only 2 entries for Samsung and it seems they are not completely tested:
https://www.xilinx.com/support/answers/66779.html
--> Samsung micro SD, Size 16gb, uhs-I, Speed class 10
--> Samsung micro SD, Size 8bg, non-uhs, speed class 6

br
John

br

r.brue

Yes there is only one FAT32 partition and I've copied both BOOT.bin and image.ub files.
I've tried three different SD cards (different brands and different speed class/sizes) none worked.
I've none of the fully-tested SD cards, do you suggest me to buy one of the tested ones? (it is pretty strange that I'm having this issue because of the SD cards, as said, I've teste 3 of them none worked, I know for sure that those SD cards are fully working)

JH

Ok, in case different SD cards doesn't work, maybe it a other issue.

Can you program Boot.bin into QSPI and boot from QSPI. During Programming, vivado check one time boot mode. Can you check which boot mode will display on the tcl console of vivado?

Remove SD card to swich boot mode an power off/on the board? You should see the uboot console(uboot stops, because SD is missing), insert SD card and start linux booting manually on uboot console.
Does this works?

br
John

r.brue

Hi, I've programmed the QSPI through Xilinx SDK > Xilinx > Program flash using the "BOOT.bin" and the "zynqmp_fsbl.elf" (found in the petalinux folder "images/linux/", is it right?) this is the flashing log from Vivado Console https://pastebin.com/NVC7gZQS.

I've flashed with the SD inserted, no luck, I've tried also to flash without the SD inserted, this is the other log  https://pastebin.com/g8i9axMk and meanwhile I was flashing the second time, on the JTAG console (JTAG (J7) connector) I've seen the message that I attach here.

I also attach my flashing configuration from Xilinx SDK.

PS: Now I don't know why, whenever I try to relaunch a new flash, Vivado says: "rdi_zynq_flash.exe has stopped working"  :o

JH

Hi,
use the "fsbl_flash.elf" for programming, this is need if the boot mode is not JTAG only

it's available in the subfolder
"test_board\prebuilt\software\<assembly version>\"


PS: zynqmp_fsbl.elf is not included  in "images/linux/" the current "TE0820-test_board-vivado_2019.2-build_10_20200408073444.zip".  I think you use this one which petalinux has generated or?

please use the original files at the moment!


br
John

volod

I have the same problem. I use TE0821 and carrier TE0701. OS Ubuntu 18.04. Soft Vivado 2019.2, Vitis 2019.2 and Petalinux 2019.2. I use reference design for this board. I make two filles : BOOT.bin and image.ub. I put this filles on SD card. I use SD cart SanDisk 16GB class10. POwersuplay 12V 2.5A.
I see board on Vivado Hardvare Manangers and I can control on LED. But I no read any signal on serial port.

JH

Hi,
we have a reference design, with prebuilt binaries for different assembly options.
can you try out this prebuilt binaries, does it work?
https://wiki.trenz-electronic.de/display/PD/TE0821+Test+Board
br
John

volod

Hi,
thank you fir your answer

I use same this design, with pre built binaries. When I create files I choose option 4 (TE-0821-01-3BE21FA) xczu3eg-sfvc784-1-e.
I looked at this manual 4 x 5 SoM Carriers (https://wiki.trenz-electronic.de/display/PD/4+x+5+SoM+Carriers) and I am confused that ЕУ0821+ЕУ0701 has some restrictions on compatibility with SD.
"Works, but without carrier board modification out of specification: TE0701 SD Level shifter powered fix by 1.8V, TE0820 SD MIO-Bank by 3.3V."

JH

Hi,

QuoteTE0701 SD Level shifter powered fix by 1.8V, TE0820 SD MIO-Bank by 3.3V."
TE0701 was design for 7 series where MIO was 1.8V. TE082x has 3.3VMIO. This works in this combination but it's out of spec.

Instead of creating files, can you test our prebuilt boot.bin and image.ub? --> In case you create all again with our scripts, please download the files again.

Which external power supply did you use for the TE0701? Some current limit?

br
John

volod

I open archive. I took next filles:
- TE0821-test_board-vivado_2019.2-build_15_20201006103533\test_board\prebuilt\boot_images\3eg_1e_2gb\u-boot\BOOT.bin
- TE0821-test_board-vivado_2019.2-build_15_20201006103533\test_board\prebuilt\os\petalinux\2GB\image.ub

But I no can open serial console

I use power supply 12V 2.5A

JH

Hi,

can you tell me all DIP settings and status of the LEDs when you power on the module and you try to boot from SD?

can you also check on Vivado HW Manager if you see ARM and FPGA or only FPGA and dummy_dap?

br
John

volod

Dip switches settings:
C3-1 ON
C3-2 ON
C3-3 OFF
C3-4 ON

C4-1 ON
C4-2 OFF
C4-3 ON
C4-4 OFF

When powering up the board:
on board TE0701: LED B6 is on RED and LED22 on GREEN
sometimes LED D1 blinks RED
on board TE0821 LED D2 on

In Vivado HW Manager I see two sections:
-xczu3_0
- arm_dap_1

JH

Hi,
ok so FSBL was booting and has programmed PL part of the FPGA. Either booting is stopped later or you has not configured console correctly.

How did you configured your console? Did you select correct speed?
https://wiki.trenz-electronic.de/display/PD/TE0821+Test+Board#TE0821TestBoard-Linux
    Speed: 115200
    COM Port: Win OS, see device manager, Linux OS see  dmesg |grep tty  (UART is *USB1)

Did you select correct COM Port? --> open device manager and connect you  JTAG/UART USB to the PC; it should appears a new COM PORT

We use mostly putty to connect UART. what did you use?

br
John


volod

I use putty. I try do it in linux and Win10. In windows I see in device manager COM6 and use this.

volod

But i do not connect to this port in putty.

JH

Hi,
putty setup looks OK.

I've some question about your DIP setup and LED status. I'm sorry I ignored this because I saw on your picture that PL was programmed, so it must be partially booted.

You use TE0701 carrier? :https://shop.trenz-electronic.de/en/TE0701-06-Carrier-Board-for-Trenz-Electronic-7-Series

There are much more LEDs  and also some jumper, and some of your names doesn't match which this one on the board.

https://wiki.trenz-electronic.de/display/PD/TE0701+TRM#TE0701TRM-On-boardLEDs
https://wiki.trenz-electronic.de/display/PD/TE0701+TRM#TE0701TRM-ConfigurationDIP-switches

And in case You C3 DIP means S3, than you should not see any Xilinx FPGA on Vivado HW Manager.

Can you also try out the Hello TE0821 app which is included in the prebuilt folder. --> It prints Hello TE0821 in endless loop

br
John



volod

Yes I use carrier TE0701. I did mistake C3 is S3
I put in SD   -  \TE0821-test_board-vivado_2019.2-build_15_20201006103533\test_board\prebuilt\boot_images\3eg_1e_2gb\hello_te0821BOOT.bin

When powering up the board:
on board TE0701: LED B6 is on RED and LED22 on GREEN
sometimes LED D1 blinks RED (period 0.7-0.8s)
on board TE0821 LED D2 on

I do not can connect to srial port

volod

I remember one moment. Before I will try use petalinux I updated TE0701 CPLD Firmware. I use manual (https://wiki.trenz-electronic.de/display/PD/TE0701+CPLD+Firmware). I use SC-PGM-TE701-06_CC701-05_20161122.zip and Lattice Diamond Programmer.
It proces was sucsesfully.

volod


volod

some update.
I bought a new carrier board TE0701 and a new FPGA board TE0821.
I installed TE0821 in the connector TE0701. I haven't done any other action yet.
I am looking at TE0821 Test Board manual:

... Usage
1. Prepare HW like described on section Programming - I did this early. I put on SD prebuilt boot.bin and image.ub.
2. Connect UART USB (most cases same as JTAG) - I right understand I will need set S3-3 OFF?
3. Select SD Card or QSPI as Boot Mode (Depends on used programming variant) - I read datashite of TE0701. - it says that when the SD card is installed in the slot, the Boot Mode is automatically turned on. do I right understand?
4. Power On PCB I will use external power suplay 12V and 2.5A.

Please give me advice. If I do the steps as I described above it should work. or I need to do some additional steps. for example, update some switches or something else. I would really appreciate any help with this issue.

JH

Hi,
sorry for delay I was on vacation.

I've still problems with your description of the module behaviour.
You wrote
Quoteon board TE0701: LED B6 is on RED and LED22 on GREEN
but I don't now which LED you mean. There are 8 LEDs next to the micro USB for JTAG/UART.
--> https://wiki.trenz-electronic.de/display/PD/TE0701+TRM#TE0701TRM-On-boardLEDs
--> https://wiki.trenz-electronic.de/display/PD/TE0701+CPLD#TE0701CPLD-LED

What did you mean with LED B6?   
LED22 means LED D22 or?  This is a power LED for 3.3VIN of the module.

QuoteConnect UART USB (most cases same as JTAG) - I right understand I will need set S3-3 OFF?
S3-3 is a multiplexer for the JTAG chain.
--> with S3-3 ON, you should see Xilinx ARM+FPGA on vivado HW Manager
--> with S3-3 OFF, you should see unknown device on Vivado HW Manager (it's the CPLD of the carrier)

This has nothing todo with UART

on one of your first post your wrote
QuoteC3-3 OFF
--> where you write C was a typo, but you also send me a picture of the Vivado HW Manager with Xilinx FPGA visible, which was programmed.
This should be not possible in this combination!

Boot mode is set via CD Pin of the SD card with default firmware.
So please do following:


br
John





volod

thank you very much for your help. everything is working. I receive messages from the pcb.
I also tried running PetaLinux. I got access to the console.

JH


volod

#30
Hello JH
I see that there is a new reference design for Xilinx 2020.2 tools. I decided to upgrade to this version. I installed Vitis, Vivado and Petalinuh 2020.2. I ran the script and created a reference design.
I follow the instructions  copy image.ub, boot.src and Boot.bin on SD.
But I cannot boot. I see this:

--------------------------------------------------------------------------------
TE0821 TE_XFsbl_HookPsuInit_Custom
Configure PLL: SI5338-B
Si5338 Init Registers Write.
Si5338 Init Complete
PLL Status Register 218:0x8                                       
USB Reset Complete
ETH Reset Complete

--------------------------------------------------------------------------------

--------------------------------------------------------------------------------
Xilinx Zynq MP First Stage Boot Loader (TE modified)
Release 2019.2   Aug 19 2021  -  09:54:24
Device Name: XCZU3EG

--------------------------------------------------------------------------------
TE0821 TE_XFsbl_BoardInit_Custom

--------------------------------------------------------------------------------
NOTICE:  ATF running on XCZU3EG/silicon v4/RTL5.1 at 0xfffea000
NOTICE:  BL31: v2.2(release):xlnx_rebase_v2.2_2020.3
NOTICE:  BL31: Built : 13:33:28, Aug 18 2021


U-Boot 2020.01 (Aug 18 2021 - 13:34:05 +0000)

Board: Xilinx ZynqMP
DRAM:  4 GiB
PMUFW: v1.1
EL Level: EL2
Chip ID: zu3eg
NAND:  0 MiB
MMC:   mmc@ff160000: 0, mmc@ff170000: 1
In:    serial@ff000000
Out:   serial@ff000000
Err:   serial@ff000000
Bootmode: SD_MODE1
Reset reason: EXTERNAL
Net: 
ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id

Warning: ethernet@ff0e0000 using MAC address from ROM
eth0: ethernet@ff0e0000
Hit any key to stop autoboot:  0
switch to partitions #0, OK
mmc1 is current device
** No partition table - mmc 1 **
JTAG: Trying to boot script at 0x20000000
## Executing script at 20000000
Wrong image format for "source" command
JTAG: SCRIPT FAILED: continuing...
switch to partitions #0, OK
mmc0(part 0) is current device
** No partition table - mmc 0 **
switch to partitions #0, OK
mmc1 is current device
** No partition table - mmc 1 **
SF: Detected n25q512a with page size 512 Bytes, erase size 128 KiB, total 128 MiB
device 0 offset 0x3e80000, size 0x80000
SF: 524288 bytes @ 0x3e80000 Read: OK
QSPI: Trying to boot script at 0x20000000
## Executing script at 20000000
Wrong image format for "source" command
QSPI: SCRIPT FAILED: continuing...


no devices available
NAND: SCRIPT FAILED: continuing...
starting USB...
Bus dwc3@fe200000: Register 2000440 NbrPorts 2
Starting the controller
USB XHCI 1.00
scanning bus dwc3@fe200000 for devices... 1 USB Device(s) found
       scanning usb for storage devices... 0 Storage Device(s) found

Device 0: unknown device

Device 1: unknown device
scanning bus for devices...

Device 0: unknown device
ethernet@ff0e0000 Waiting for PHY auto negotiation to complete.....


This wait has no end.

JH

Hi,
you must generate your own boot.scr or use our temple version from the project delivery.
See also: https://wiki.trenz-electronic.de/display/PD/Distro+Boot+with+Boot.scr
br
John

volod

i use this boot.scr:

imageub_addr=0x10000000
#
imageub_flash_addr=0x200000
imageub_flash_size=0xD90000



for boot_target in ${boot_targets};
do
     # Boot target is mmc0 or usb0: image.ub on mmc0 or usb0
     if test "${boot_target}" = "${devtype}0"; then
           if test -e ${devtype} 0:${distro_bootpart} /image.ub; then
                   fatload ${devtype} 0:${distro_bootpart} ${imageub_addr} image.ub;
                   bootm ${imageub_addr};
           fi
      fi
      # Boot target is mmc1 or usb1: image.ub on mmc1 or usb1
       if test "${boot_target}" = "${devtype}1"; then
             if test -e ${devtype} 1:${distro_bootpart} /image.ub; then
                  fatload ${devtype} 1:${distro_bootpart} ${imageub_addr} image.ub;
                  bootm ${imageub_addr};
             fi
       fi
      # Boot target is qspi: image.ub on qspi; image.ub is included in BOOT.bin
      if test "${boot_target}" = "qspi"; then
             sf probe 0 0 0;
             sf read ${imageub_addr} ${imageub_flash_addr} ${imageub_flash_size};
             bootm ${imageub_addr};
      fi
done



But result similar:

Xilinx Zynq MP First Stage Boot Loader
Release 2020.2   Oct  7 2021  -  21:16:37
NOTICE:  ATF running on XCZU3EG/silicon v4/RTL5.1 at 0xfffea000
NOTICE:  BL31: v2.2(release):xlnx_rebase_v2.2_2020.3
NOTICE:  BL31: Built : 21:12:20, Oct  7 2021


U-Boot 2020.01 (Oct 07 2021 - 21:40:48 +0000)

Board: Xilinx ZynqMP
DRAM:  2 GiB

PMUFW: v1.1
EL Level: EL2
Chip ID: zu3eg
NAND:  0 MiB
MMC:   mmc@ff160000: 0, mmc@ff170000: 1
In:    serial@ff000000
Out:   serial@ff000000
Err:   serial@ff000000
Bootmode: SD_MODE1
Reset reason: EXTERNAL
Net: 
ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id

Warning: ethernet@ff0e0000 using MAC address from ROM
eth0: ethernet@ff0e0000
Hit any key to stop autoboot:  0
switch to partitions #0, OK
mmc1 is current device
** No partition table - mmc 1 **
JTAG: Trying to boot script at 0x20000000
## Executing script at 20000000
Wrong image format for "source" command
JTAG: SCRIPT FAILED: continuing...
switch to partitions #0, OK
mmc0(part 0) is current device
** No partition table - mmc 0 **
switch to partitions #0, OK
mmc1 is current device
** No partition table - mmc 1 **
SF: Detected n25q512a with page size 512 Bytes, erase size 128 KiB, total 128 MiB
device 0 offset 0x3e80000, size 0x80000
SF: 524288 bytes @ 0x3e80000 Read: OK
QSPI: Trying to boot script at 0x20000000
## Executing script at 20000000
Wrong image format for "source" command
QSPI: SCRIPT FAILED: continuing...


no devices available
NAND: SCRIPT FAILED: continuing...
starting USB...
Bus dwc3@fe200000: Register 2000440 NbrPorts 2
Starting the controller
USB XHCI 1.00
scanning bus dwc3@fe200000 for devices... 1 USB Device(s) found
       scanning usb for storage devices... 0 Storage Device(s) found

Device 0: unknown device

Device 1: unknown device
scanning bus for devices...

Device 0: unknown device
ethernet@ff0e0000 Waiting for PHY auto negotiation to complete........


What do I need doing?

volod

I connect RJ45 cable to te0821 and i see next:

[0.000000 0.000000] Xilinx Zynq MP First Stage Boot Loader
[3.521615 3.521615] Release 2020.2   Oct  7 2021  -  21:16:37
[3.919868 0.398253] NOTICE:  ATF running on XCZU3EG/silicon v4/RTL5.1 at 0xfffea000
[3.922491 0.002623] NOTICE:  BL31: v2.2(release):xlnx_rebase_v2.2_2020.3
[3.924460 0.001969] NOTICE:  BL31: Built : 21:12:20, Oct  7 2021
[5.375796 1.451336]
[5.375933 0.000137]
[5.376026 0.000093] U-Boot 2020.01 (Oct 07 2021 - 21:40:48 +0000)
[5.379308 0.003282]
[5.379382 0.000074] Board: Xilinx ZynqMP
[5.380905 0.001523] DRAM:  2 GiB
[5.679619 0.298714] PMUFW: v1.1
[5.680111 0.000492] EL Level: EL2
[5.680506 0.000395] Chip ID: zu3eg
[5.711603 0.031097] NAND:  0 MiB
[5.712184 0.000581] MMC:   mmc@ff160000: 0, mmc@ff170000: 1
[5.713089 0.000905] In:    serial@ff000000
[5.713492 0.000403] Out:   serial@ff000000
[5.727882 0.014390] Err:   serial@ff000000
[5.728076 0.000194] Bootmode: SD_MODE1
[5.728226 0.000150] Reset reason: EXTERNAL
[5.728414 0.000188] Net: 
[5.728480 0.000066] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[5.743700 0.015220] Could not get PHY for eth0: addr -1
[5.744699 0.000999] No ethernet found.
[5.745079 0.000380]
[5.745099 0.000020] Hit any key to stop autoboot:  0
[7.807555 2.062456] switch to partitions #0, OK
[7.823730 0.016175] mmc1 is current device
[7.824096 0.000366] ** No partition table - mmc 1 **
[7.824624 0.000528] JTAG: Trying to boot script at 0x20000000
[7.839710 0.015086] ## Executing script at 20000000
[7.840282 0.000572] Wrong image format for "source" command
[7.840973 0.000691] JTAG: SCRIPT FAILED: continuing...
[7.999608 0.158635] switch to partitions #0, OK
[8.000796 0.001188] mmc0(part 0) is current device
[8.001950 0.001154] ** No partition table - mmc 0 **
[8.047545 0.045595] switch to partitions #0, OK
[8.048799 0.001254] mmc1 is current device
[8.063410 0.014611] ** No partition table - mmc 1 **
[8.064113 0.000703] SF: Detected n25q512a with page size 512 Bytes, erase size 128 KiB, total 128 MiB
[8.065701 0.001588] device 0 offset 0x3e80000, size 0x80000
[8.079833 0.014132] SF: 524288 bytes @ 0x3e80000 Read: OK
[8.080199 0.000366] QSPI: Trying to boot script at 0x20000000
[8.080600 0.000401] ## Executing script at 20000000
[8.080985 0.000385] Wrong image format for "source" command
[8.095926 0.014941] QSPI: SCRIPT FAILED: continuing...
[8.096396 0.000470]
[8.096420 0.000024]
[8.096435 0.000015] no devices available
[8.096701 0.000266] NAND: SCRIPT FAILED: continuing...
[8.097136 0.000435] starting USB...
[8.097343 0.000207] Bus dwc3@fe200000: Register 2000440 NbrPorts 2
[8.496709 0.399366] Starting the controller
[8.497635 0.000926] USB XHCI 1.00
[8.511999 0.014364] scanning bus dwc3@fe200000 for devices... 1 USB Device(s) found
[9.632053 1.120054]        scanning usb for storage devices... 0 Storage Device(s) found
[9.633836 0.001783]
[9.633849 0.000013] Device 0: unknown device
[9.634094 0.000245]
[9.634106 0.000012] Device 1: unknown device
[9.634379 0.000273] scanning bus for devices...
[9.634650 0.000271]
[9.634661 0.000011] Device 0: unknown device
[9.647484 0.012823]
[9.647502 0.000018] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.648070 0.000568] mdio_register: non unique device name 'eth0'
[9.648419 0.000349]
[9.648429 0.000010] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.663590 0.015161] mdio_register: non unique device name 'eth0'
[9.664258 0.000668]
[9.664279 0.000021] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.665115 0.000836] mdio_register: non unique device name 'eth0'
[9.679500 0.014385]
[9.679611 0.000111] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.680502 0.000891] mdio_register: non unique device name 'eth0'
[9.681057 0.000555] No ethernet found.
[9.681305 0.000248]
[9.681319 0.000014] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.695738 0.014419] mdio_register: non unique device name 'eth0'
[9.696321 0.000583] missing environment variable: pxeuuid
[9.696822 0.000501]
[9.696842 0.000020] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.711281 0.014439] mdio_register: non unique device name 'eth0'
[9.711943 0.000662] missing environment variable: bootfile
[9.712480 0.000537] Retrieving file: pxelinux.cfg/00000000
[9.713027 0.000547]
[9.713044 0.000017] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.727627 0.014583] mdio_register: non unique device name 'eth0'
[9.728279 0.000652]
[9.728296 0.000017] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.729056 0.000760] mdio_register: non unique device name 'eth0'
[9.729589 0.000533]
[9.729607 0.000018] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.744720 0.015113] mdio_register: non unique device name 'eth0'
[9.745470 0.000750]
[9.745490 0.000020] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.759338 0.013848] mdio_register: non unique device name 'eth0'
[9.759991 0.000653] No ethernet found.
[9.760272 0.000281]
[9.760287 0.000015] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.761257 0.000970] mdio_register: non unique device name 'eth0'
[9.775526 0.014269] missing environment variable: bootfile
[9.776038 0.000512] Retrieving file: pxelinux.cfg/0000000
[9.776634 0.000596]
[9.776651 0.000017] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.777646 0.000995] mdio_register: non unique device name 'eth0'
[9.791582 0.013936]
[9.791606 0.000024] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.792702 0.001096] mdio_register: non unique device name 'eth0'
[9.793420 0.000718]
[9.793439 0.000019] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.807947 0.014508] mdio_register: non unique device name 'eth0'
[9.808923 0.000976]
[9.808934 0.000011] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.809461 0.000527] mdio_register: non unique device name 'eth0'
[9.823346 0.013885] No ethernet found.
[9.823594 0.000248]
[9.823609 0.000015] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.824416 0.000807] mdio_register: non unique device name 'eth0'
[9.824939 0.000523] missing environment variable: bootfile
[9.825385 0.000446] Retrieving file: pxelinux.cfg/000000
[9.839714 0.014329]
[9.839732 0.000018] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.840271 0.000539] mdio_register: non unique device name 'eth0'
[9.840629 0.000358]
[9.840639 0.000010] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.855803 0.015164] mdio_register: non unique device name 'eth0'
[9.856341 0.000538]
[9.856356 0.000015] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.857013 0.000657] mdio_register: non unique device name 'eth0'
[9.871588 0.014575]
[9.871607 0.000019] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.872153 0.000546] mdio_register: non unique device name 'eth0'
[9.872499 0.000346] No ethernet found.
[9.872653 0.000154]
[9.872662 0.000009] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.887832 0.015170] mdio_register: non unique device name 'eth0'
[9.888184 0.000352] missing environment variable: bootfile
[9.888475 0.000291] Retrieving file: pxelinux.cfg/00000
[9.888750 0.000275]
[9.888760 0.000010] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.904578 0.015818] mdio_register: non unique device name 'eth0'
[9.905334 0.000756]
[9.905354 0.000020] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.906439 0.001085] mdio_register: non unique device name 'eth0'
[9.919916 0.013477]
[9.919942 0.000026] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.920944 0.001002] mdio_register: non unique device name 'eth0'
[9.921620 0.000676]
[9.921636 0.000016] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.935998 0.014362] mdio_register: non unique device name 'eth0'
[9.937420 0.001422] No ethernet found.
[9.938062 0.000642]
[9.938107 0.000045] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.940178 0.002071] mdio_register: non unique device name 'eth0'
[9.952009 0.011831] missing environment variable: bootfile
[9.952548 0.000539] Retrieving file: pxelinux.cfg/0000
[9.953076 0.000528]
[9.953091 0.000015] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.967422 0.014331] mdio_register: non unique device name 'eth0'
[9.968023 0.000601]
[9.968036 0.000013] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.969164 0.001128] mdio_register: non unique device name 'eth0'
[9.969911 0.000747]
[9.969926 0.000015] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.985436 0.015510] mdio_register: non unique device name 'eth0'
[9.986524 0.001088]
[9.986540 0.000016] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[9.987309 0.000769] mdio_register: non unique device name 'eth0'
[9.999964 0.012655] No ethernet found.
[10.000214 0.000250]
[10.000230 0.000016] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.000989 0.000759] mdio_register: non unique device name 'eth0'
[10.001378 0.000389] missing environment variable: bootfile
[10.015759 0.014381] Retrieving file: pxelinux.cfg/000
[10.016208 0.000449]
[10.016224 0.000016] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.017121 0.000897] mdio_register: non unique device name 'eth0'
[10.031470 0.014349]
[10.031487 0.000017] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.032074 0.000587] mdio_register: non unique device name 'eth0'
[10.032439 0.000365]
[10.032449 0.000010] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.047608 0.015159] mdio_register: non unique device name 'eth0'
[10.048080 0.000472]
[10.048096 0.000016] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.048981 0.000885] mdio_register: non unique device name 'eth0'
[10.049557 0.000576] No ethernet found.
[10.063597 0.014040]
[10.063621 0.000024] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.064308 0.000687] mdio_register: non unique device name 'eth0'
[10.064660 0.000352] missing environment variable: bootfile
[10.064956 0.000296] Retrieving file: pxelinux.cfg/00
[10.079817 0.014861]
[10.079844 0.000027] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.080659 0.000815] mdio_register: non unique device name 'eth0'
[10.081191 0.000532]
[10.081206 0.000015] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.095704 0.014498] mdio_register: non unique device name 'eth0'
[10.096076 0.000372]
[10.096086 0.000010] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.096620 0.000534] mdio_register: non unique device name 'eth0'
[10.111644 0.015024]
[10.111670 0.000026] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.112486 0.000816] mdio_register: non unique device name 'eth0'
[10.113008 0.000522] No ethernet found.
[10.113239 0.000231]
[10.113254 0.000015] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.127748 0.014494] mdio_register: non unique device name 'eth0'
[10.128192 0.000444] missing environment variable: bootfile
[10.128508 0.000316] Retrieving file: pxelinux.cfg/0
[10.128758 0.000250]
[10.128768 0.000010] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.145000 0.016232] mdio_register: non unique device name 'eth0'
[10.147445 0.002445]
[10.147550 0.000105] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.153049 0.005499] mdio_register: non unique device name 'eth0'
[10.160395 0.007346]
[10.160455 0.000060] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.164325 0.003870] mdio_register: non unique device name 'eth0'
[10.166838 0.002513]
[10.166906 0.000068] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.176963 0.010057] mdio_register: non unique device name 'eth0'
[10.179601 0.002638] No ethernet found.
[10.180802 0.001201]
[10.180875 0.000073] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.184969 0.004094] mdio_register: non unique device name 'eth0'
[10.192961 0.007992] missing environment variable: bootfile
[10.195265 0.002304] Retrieving file: pxelinux.cfg/default-arm-zynqmp-zynqmp
[10.198667 0.003402]
[10.198742 0.000075] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.208101 0.009359] mdio_register: non unique device name 'eth0'
[10.210762 0.002661]
[10.210832 0.000070] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.214884 0.004052] mdio_register: non unique device name 'eth0'
[10.217518 0.002634]
[10.217589 0.000071] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.227434 0.009845] mdio_register: non unique device name 'eth0'
[10.230223 0.002789]
[10.230300 0.000077] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.239435 0.009135] mdio_register: non unique device name 'eth0'
[10.240685 0.001250] No ethernet found.
[10.241193 0.000508]
[10.241226 0.000033] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.243027 0.001801] mdio_register: non unique device name 'eth0'
[10.255494 0.012467] missing environment variable: bootfile
[10.256604 0.001110] Retrieving file: pxelinux.cfg/default-arm-zynqmp
[10.258734 0.002130]
[10.258801 0.000067] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.261538 0.002737] mdio_register: non unique device name 'eth0'
[10.272341 0.010803]
[10.272395 0.000054] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.275212 0.002817] mdio_register: non unique device name 'eth0'
[10.277056 0.001844]
[10.277105 0.000049] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.288285 0.011180] mdio_register: non unique device name 'eth0'
[10.289624 0.001339]
[10.289661 0.000037] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.291718 0.002057] mdio_register: non unique device name 'eth0'
[10.303488 0.011770] No ethernet found.
[10.303754 0.000266]
[10.303771 0.000017] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.304887 0.001116] mdio_register: non unique device name 'eth0'
[10.305778 0.000891] missing environment variable: bootfile
[10.319418 0.013640] Retrieving file: pxelinux.cfg/default-arm
[10.320158 0.000740]
[10.320180 0.000022] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.321268 0.001088] mdio_register: non unique device name 'eth0'
[10.321962 0.000694]
[10.321981 0.000019] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.335904 0.013923] mdio_register: non unique device name 'eth0'
[10.336302 0.000398]
[10.336312 0.000010] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.336909 0.000597] mdio_register: non unique device name 'eth0'
[10.351719 0.014810]
[10.351738 0.000019] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.352545 0.000807] mdio_register: non unique device name 'eth0'
[10.353068 0.000523] No ethernet found.
[10.353299 0.000231]
[10.353314 0.000015] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.367870 0.014556] mdio_register: non unique device name 'eth0'
[10.368225 0.000355] missing environment variable: bootfile
[10.368517 0.000292] Retrieving file: pxelinux.cfg/default
[10.383404 0.014887]
[10.383424 0.000020] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.384242 0.000818] mdio_register: non unique device name 'eth0'
[10.384774 0.000532]
[10.384788 0.000014] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.399507 0.014719] mdio_register: non unique device name 'eth0'
[10.399851 0.000344]
[10.399860 0.000009] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.400445 0.000585] mdio_register: non unique device name 'eth0'
[10.400793 0.000348]
[10.400802 0.000009] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.415928 0.015126] mdio_register: non unique device name 'eth0'
[10.416287 0.000359] No ethernet found.
[10.416437 0.000150]
[10.416447 0.000010] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.431613 0.015166] mdio_register: non unique device name 'eth0'
[10.432155 0.000542] Config file not found
[10.432463 0.000308]
[10.432474 0.000011] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.433032 0.000558] mdio_register: non unique device name 'eth0'
[10.447848 0.014816]
[10.447881 0.000033] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.448780 0.000899] mdio_register: non unique device name 'eth0'
[10.449365 0.000585]
[10.449381 0.000016] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.463706 0.014325] mdio_register: non unique device name 'eth0'
[10.464157 0.000451]
[10.464169 0.000012] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.464824 0.000655] mdio_register: non unique device name 'eth0'
[10.479639 0.014815] No ethernet found.
[10.480061 0.000422]
[10.480089 0.000028] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.481204 0.001115] mdio_register: non unique device name 'eth0'
[10.481930 0.000726]
[10.481953 0.000023] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.495961 0.014008] mdio_register: non unique device name 'eth0'
[10.496751 0.000790]
[10.496777 0.000026] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.498048 0.001271] mdio_register: non unique device name 'eth0'
[10.511489 0.013441]
[10.511500 0.000011] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.512022 0.000522] mdio_register: non unique device name 'eth0'
[10.512372 0.000350]
[10.512382 0.000010] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.527547 0.015165] mdio_register: non unique device name 'eth0'
[10.528083 0.000536] No ethernet found.
[10.528317 0.000234]
[10.528331 0.000014] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[10.529151 0.000820] mdio_register: non unique device name 'eth0'
[10.543527 0.014376] ZynqMP>

JH

Hi,
where did you put your boot.scr?
uboot couldn't mount sd card(mmc1 is SD card, mmc0 is emmc):
Quotemmc1 is current device
** No partition table - mmc 1 **
JTAG: Trying to boot script at 0x20000000
## Executing script at 20000000
Wrong image format for "source" command
JTAG: SCRIPT FAILED: continuing...
switch to partitions #0, OK
mmc0(part 0) is current device
** No partition table - mmc 0 **
switch to partitions #0, OK

--> so it never found boot.scr and use default one which is wrong.

br
John

volod

I follow the instructions  copy image.ub, boot.src and Boot.bin on SD.

JH

#36
Hi,
sd has only one fat32 partition?
Boot mode is SD? --> should be automatically when you use default TE0705 firmware and you has insert sd card


Can you download zip again and use prebuilt binaries which was release by us?
--> your Uboot time stamp is "Release 2020.2   Oct  7 2021  -  21:16:37" so I think it's your own one or?

But TE0820 designs was release on 2020-06-01:
https://wiki.trenz-electronic.de/display/PD/TE0820+Test+Board#TE0820TestBoard-RevisionHistory

br
John

volod

I have TE0821 and carrier board TE0701-6
Previous reference design 2019.2 working ok. I make me petalinux with openamp ant this work ok. I had a problem in new reference design 2020.2. I use TE0821-test_board-vivado_2019.2-build_15_20201006103533.zip Size 62,93 MB / Modified 06.10.2020 - 10:35:41

JH

Hi,
that's still strange.
Timestamp from the 2020.2 ZIP is 21-08-24:
https://shop.trenz-electronic.de/en/Download/?path=Trenz_Electronic/Modules_and_Module_Carriers/4x5/TE0821/Reference_Design/2020.2/test_board
--> TE0821-test_board_noprebuilt-vivado_2020.2-build_7_20210824103059.zip

your FSBL "Release 2020.2   Oct  7 2021  -  21:16:37"
your Uboot "U-Boot 2020.01 (Oct 07 2021 - 21:40:48 +0000)"

So it looks like your one is newer than our or or not?
Can you try out prebuilt binaries from original ZIP please?

br
John

volod

I tried do it. I get next files in reference design (https://shop.trenz-electronic.de/trenzdownloads/Trenz_Electronic/Modules_and_Module_Carriers/4x5/TE0821/Reference_Design/2020.2/test_board/TE0821-test_board-vivado_2020.2-build_7_20210824103042.zip):
../test_board 2020.2/prebuilt/boot_images/3eg_1e_2gb/u-boot/BOOT.bin
../test_board 2020.2/prebuilt/os/petalinux/2GB/boot.scr
../test_board 2020.2/prebuilt/os/petalinux/2GB/image.ub
I put this files on SD

But I had the same result:

[0.000001 0.000001] PLL Status Register 218:0x8                                       
[0.002847 0.002846] USB Reset Complete
[0.003626 0.000779] ETH Reset Complete
[0.004385 0.000759]
[0.004432 0.000047] --------------------------------------------------------------------------------
[0.016029 0.011597]
[0.016042 0.000013] --------------------------------------------------------------------------------
[0.016736 0.000694] Xilinx Zynq MP First Stage Boot Loader (TE modified)
[0.032052 0.015316] Release 2019.2   Aug 19 2021  -  09:54:24
[0.032559 0.000507] Device Name: XCZU3EG
[0.032826 0.000267]
[0.032840 0.000014] --------------------------------------------------------------------------------
[0.033795 0.000955] TE0821 TE_XFsbl_BoardInit_Custom
[0.048177 0.014382]
[0.048237 0.000060] --------------------------------------------------------------------------------
[0.447950 0.399713] NOTICE:  ATF running on XCZU3EG/silicon v4/RTL5.1 at 0xfffea000
[0.450520 0.002570] NOTICE:  BL31: v2.2(release):xlnx_rebase_v2.2_2020.3
[0.452542 0.002022] NOTICE:  BL31: Built : 13:33:28, Aug 18 2021
[1.775860 1.323318]
[1.775996 0.000136]
[1.776080 0.000084] U-Boot 2020.01 (Aug 18 2021 - 13:34:05 +0000)
[1.779166 0.003086]
[1.779240 0.000074] Board: Xilinx ZynqMP
[1.780748 0.001508] DRAM:  4 GiB
[2.095761 0.315013] PMUFW: v1.1
[2.096014 0.000253] EL Level: EL2
[2.096225 0.000211] Chip ID: zu3eg
[2.111728 0.015503] NAND:  0 MiB
[2.112028 0.000300] MMC:   mmc@ff160000: 0, mmc@ff170000: 1
[2.128118 0.016090] In:    serial@ff000000
[2.128490 0.000372] Out:   serial@ff000000
[2.128794 0.000304] Err:   serial@ff000000
[2.129101 0.000307] Bootmode: SD_MODE1
[2.129398 0.000297] Reset reason: EXTERNAL
[2.129646 0.000248] Net: 
[2.143773 0.014127] ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id
[2.144436 0.000663]
[2.144448 0.000012] Warning: ethernet@ff0e0000 using MAC address from ROM
[2.144958 0.000510] eth0: ethernet@ff0e0000
[2.145191 0.000233] Hit any key to stop autoboot:  0
[4.223498 2.078307] switch to partitions #0, OK
[4.224248 0.000750] mmc1 is current device
[4.224785 0.000537] ** No partition table - mmc 1 **
[4.240887 0.016102] JTAG: Trying to boot script at 0x20000000
[4.242644 0.001757] ## Executing script at 20000000
[4.244078 0.001434] Wrong image format for "source" command
[4.245025 0.000947] JTAG: SCRIPT FAILED: continuing...
[4.415539 0.170514] switch to partitions #0, OK
[4.416721 0.001182] mmc0(part 0) is current device
[4.431405 0.014684] ** No partition table - mmc 0 **
[4.463467 0.032062] switch to partitions #0, OK
[4.465250 0.001783] mmc1 is current device
[4.480424 0.015174] ** No partition table - mmc 1 **
[4.482184 0.001760] SF: Detected n25q512a with page size 512 Bytes, erase size 128 KiB, total 128 MiB
[4.496986 0.014802] device 0 offset 0x3e80000, size 0x80000
[4.498220 0.001234] SF: 524288 bytes @ 0x3e80000 Read: OK
[4.499360 0.001140] QSPI: Trying to boot script at 0x20000000
[4.511541 0.012181] ## Executing script at 20000000
[4.511987 0.000446] Wrong image format for "source" command
[4.512517 0.000530] QSPI: SCRIPT FAILED: continuing...
[4.512988 0.000471]
[4.513012 0.000024]
[4.513028 0.000016] no devices available
[4.513310 0.000282] NAND: SCRIPT FAILED: continuing...
[4.513801 0.000491] starting USB...
[4.527516 0.013715] Bus dwc3@fe200000: Register 2000440 NbrPorts 2
[4.928362 0.400846] Starting the controller
[4.929321 0.000959] USB XHCI 1.00
[4.929918 0.000597] scanning bus dwc3@fe200000 for devices... 1 USB Device(s) found
[6.048288 1.118370]        scanning usb for storage devices... 0 Storage Device(s) found
[6.050959 0.002671]
[6.051011 0.000052] Device 0: unknown device
[6.052007 0.000996]
[6.052054 0.000047] Device 1: unknown device
[6.063336 0.011282] scanning bus for devices...
[6.063623 0.000287]
[6.063636 0.000013] Device 0: unknown device
[6.063880 0.000244] ethernet@ff0e0000 Waiting for PHY auto negotiation to complete.........................................

JH

#40
Hi,
you are sure that your boot mode is SD?
FSBL Banner is "[0.032052 0.015316] Release 2019.2   Aug 19 2021  -  09:54:24"

this was also happens on one if your older posts "Release 2019.2   Aug 19 2021  -  09:54:24" with the same date...

What's happens when you remove the boot.bin from sd. Does it still boot? I will also check one time files on my place.
br
John

volod

If I understand correctly, when the SD card is inserted into the SD slot, the boot mode from the card is set automatically.
for verification, I placed the reference design Hello World on the maps

Here is the output

[0.000001 0.000001] Hello Trenz Module(TE0821) (Loop: 6)
[1.007947 1.007946] Hello Trenz Module(TE0821) (Loop: 7)
[1.999947 0.992000] Hello Trenz Module(TE0821) (Loop: 8)
[3.007894 1.007947] Hello Trenz Module(TE0821) (Loop: 9)
[3.999850 0.991956] Hello Trenz Module(TE0821) (Loop: 10)

JH

Hi,
I've upload a new version(should be available in appr. 30min). Here is the change list:
https://wiki.trenz-electronic.de/display/PD/TE0821+Test+Board#TE0821TestBoard-RevisionHistory
Can you try out prebuilt binaries from: TE0821-test_board-vivado_2020.2-build_8_20211013085513.zip

My error pattern was different with the old files but it booted with the new ones up to linux console.
br
John

volod

Hi, John

I tried new version. But i have the same problem.
First I used :
/RD_TE0821/_binaries_TE0821-01-3BE21FA/boot_linux/boot.scr
/RD_TE0821/_binaries_TE0821-01-3BE21FA/boot_linux/BOOT.bin
/RD_TE0821/_binaries_TE0821-01-3BE21FA/boot_linux/image.ub
/RD_TE0821/_binaries_TE0821-01-3BE21FA/boot_linux/init.sh

Result:
--------------------------------------------------------------------------------
TE0821 TE_XFsbl_HookPsuInit_Custom
Configure PLL: SI5338-B
Si5338 Init Registers Write.
Si5338 Init Complete
PLL Status Register 218:0x8                                       
USB Reset Complete
ETH Reset Complete

--------------------------------------------------------------------------------

--------------------------------------------------------------------------------
Xilinx Zynq MP First Stage Boot Loader (TE modified)
Release 2020.2   Oct 13 2021  -  07:26:52
Device Name: XCZU3EG

--------------------------------------------------------------------------------
TE0821 TE_XFsbl_BoardInit_Custom

--------------------------------------------------------------------------------
NOTICE:  ATF running on XCZU3EG/silicon v4/RTL5.1 at 0xfffea000
NOTICE:  BL31: v2.2(release):xlnx_rebase_v2.2_2020.3
NOTICE:  BL31: Built : 11:43:35, Oct 12 2021


U-Boot 2020.01 (Oct 12 2021 - 11:44:34 +0000)

Board: Xilinx ZynqMP
DRAM:  2 GiB
PMUFW: v1.1
EL Level: EL2
Chip ID: zu3eg
NAND:  0 MiB
MMC:   mmc@ff160000: 0, mmc@ff170000: 1
In:    serial@ff000000
Out:   serial@ff000000
Err:   serial@ff000000
Bootmode: SD_MODE1
Reset reason: EXTERNAL
Net: 
ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id

Warning: ethernet@ff0e0000 using MAC address from ROM
eth0: ethernet@ff0e0000
Hit any key to stop autoboot:  0
switch to partitions #0, OK
mmc1 is current device
** No partition table - mmc 1 **
JTAG: Trying to boot script at 0x20000000
## Executing script at 20000000
Wrong image format for "source" command
JTAG: SCRIPT FAILED: continuing...
switch to partitions #0, OK
mmc0(part 0) is current device
** No partition table - mmc 0 **
switch to partitions #0, OK
mmc1 is current device
** No partition table - mmc 1 **
SF: Detected n25q512a with page size 512 Bytes, erase size 128 KiB, total 128 MiB
device 0 offset 0x3e80000, size 0x80000
SF: 524288 bytes @ 0x3e80000 Read: OK
QSPI: Trying to boot script at 0x20000000
## Executing script at 20000000
Wrong image format for "source" command
QSPI: SCRIPT FAILED: continuing...


no devices available
NAND: SCRIPT FAILED: continuing...
starting USB...
Bus dwc3@fe200000: Register 2000440 NbrPorts 2
Starting the controller
USB XHCI 1.00
scanning bus dwc3@fe200000 for devices... 1 USB Device(s) found
       scanning usb for storage devices... 0 Storage Device(s) found

Device 0: unknown device

Device 1: unknown device
scanning bus for devices...

Device 0: unknown device


ethernet@ff0e0000 Waiting for PHY auto negotiation to complete.......

****************************************************
Second I use:
/RD_TE0821/prebuilt/boot_images/3eg_1e_2gb/u-boot/BOOT.bin
/RD_TE0821/prebuilt/os/petalinux/2GB/boot.scr
/RD_TE0821/prebuilt/os/petalinux/2GB/image.ub

Result very similar.

Now I  will try change me old TE08210 to new TE0821 and do test.

volod

I changed TE0821 but result the same

If I put RJ45 connector i have next:

------
Xilinx Zynq MP First Stage Boot Loader (TE modified)
Release 2020.2   Oct 13 2021  -  07:26:52
Device Name: XCZU3EG

--------------------------------------------------------------------------------
TE0821 TE_XFsbl_BoardInit_Custom

--------------------------------------------------------------------------------
NOTICE:  ATF running on XCZU3EG/silicon v4/RTL5.1 at 0xfffea000
NOTICE:  BL31: v2.2(release):xlnx_rebase_v2.2_2020.3
NOTICE:  BL31: Built : 11:43:35, Oct 12 2021


U-Boot 2020.01 (Oct 12 2021 - 11:44:34 +0000)

Board: Xilinx ZynqMP
DRAM:  2 GiB
PMUFW: v1.1
EL Level: EL2
Chip ID: zu3eg
NAND:  0 MiB
MMC:   mmc@ff160000: 0, mmc@ff170000: 1
In:    serial@ff000000
Out:   serial@ff000000
Err:   serial@ff000000
Bootmode: SD_MODE1
Reset reason: EXTERNAL
Net: 
ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id

Warning: ethernet@ff0e0000 using MAC address from ROM
eth0: ethernet@ff0e0000
Hit any key to stop autoboot:  0
switch to partitions #0, OK
mmc1 is current device
** No partition table - mmc 1 **
JTAG: Trying to boot script at 0x20000000
## Executing script at 20000000
Wrong image format for "source" command
JTAG: SCRIPT FAILED: continuing...
switch to partitions #0, OK
mmc0(part 0) is current device
** No partition table - mmc 0 **
switch to partitions #0, OK
mmc1 is current device
** No partition table - mmc 1 **
SF: Detected n25q512a with page size 512 Bytes, erase size 128 KiB, total 128 MiB
device 0 offset 0x3e80000, size 0x80000
SF: 524288 bytes @ 0x3e80000 Read: OK
QSPI: Trying to boot script at 0x20000000
## Executing script at 20000000
Wrong image format for "source" command
QSPI: SCRIPT FAILED: continuing...


no devices available
NAND: SCRIPT FAILED: continuing...
starting USB...
Bus dwc3@fe200000: Register 2000440 NbrPorts 2
Starting the controller
USB XHCI 1.00
scanning bus dwc3@fe200000 for devices... 1 USB Device(s) found
       scanning usb for storage devices... 0 Storage Device(s) found

Device 0: unknown device

Device 1: unknown device
scanning bus for devices...

Device 0: unknown device
ethernet@ff0e0000 Waiting for PHY auto negotiation to complete................................................................................... done
BOOTP broadcast 1
BOOTP broadcast 2
BOOTP broadcast 3
BOOTP broadcast 4
DHCP client bound to address 192.168.0.120 (2364 ms)
*** ERROR: `serverip' not set
Cannot autoload with TFTPGET
missing environment variable: pxeuuid
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/01-80-1f-12-f2-85-80
*** ERROR: `serverip' not set
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/C0A80078
*** ERROR: `serverip' not set
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/C0A8007
*** ERROR: `serverip' not set
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/C0A800
*** ERROR: `serverip' not set
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/C0A80
*** ERROR: `serverip' not set
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/C0A8
*** ERROR: `serverip' not set
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/C0A
*** ERROR: `serverip' not set
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/C0
*** ERROR: `serverip' not set
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/C
*** ERROR: `serverip' not set
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/default-arm-zynqmp-zynqmp
*** ERROR: `serverip' not set
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/default-arm-zynqmp
*** ERROR: `serverip' not set
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/default-arm
*** ERROR: `serverip' not set
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/default
*** ERROR: `serverip' not set
Config file not found
BOOTP broadcast 1
DHCP client bound to address 192.168.0.120 (578 ms)
*** ERROR: `serverip' not set
Cannot autoload with TFTPGET
BOOTP broadcast 1
DHCP client bound to address 192.168.0.120 (611 ms)
*** ERROR: `serverip' not set
Cannot autoload with TFTPGET
ZynqMP>

JH

Hi,
in this case your module/carrier combination has some other problem with SD.
Xilinx Boot ROM, FSBL, Uboot and Linux will reinitialise SD interfaces and use different speed and calibration. and it looks like uboot has problems on your place.
Xilinx regularly changes something and so it can happens that one version works and the other doesn't work.

How old is your carrier and module? Maybe some older Version is not so compatible. There is also some inconsistent with power, because TE0705 was original design for the 7 series zynq, see:
https://wiki.trenz-electronic.de/display/PD/4+x+5+SoM+Carriers#id-4x5SoMCarriers-TE0705
We didn't recognised problems on using them also with TE0820, but normally levelshifter must be changed to 3.3V instead of 1.8V
Can you send me the whole article name or serial number of the module and carrier (you can send them also to support@trenz-electronic.de instead to post them here if you want)

br
John

volod


volod


JH

Hi,
sorry. You are right TE0701...I still see subject TE0705...sorry.
both are last version, so until know I don't know why it doesn't work on your place. I've test on my place with TE0703.
You can check one time uboot commands if you can rescan sd card. type help on uboot console to see commands. Can you change also one time SD card please?
br
John

volod

John,
I use new SD and this working. I have linux login.
Interested what previous SD - sandisk Ultra PLUS 16GB HC-1
new SD - sandisk Ultra 16GB HC1
and previous SD good work before with 2019.2