News:

Attention: For security reasons,please choose a user name *different* from your login name.
Also make sure to choose a secure password and change it regularly.

Main Menu

Recent posts

#71
CYC1000 community projects / Re: I present a comunity of IN...
Last post by Subcritical - November 24, 2023, 01:58:35 PM
This is an interactive bill of materials, nowadays the SD has 4bit mode and is not share with the 2x20 Raspberry pi port. But in the future i'm going to share the signals an put also in the 2x20 pi bus.
Nowadays also seeing the rp2040, to control the cores that were sintetized in the platform.



More info available here:
https://github.com/AtlasFPGA/CYC1000
#72
Trenz Electronic FPGA Modules / Re: TE0705 USB over-current si...
Last post by JH - November 24, 2023, 12:37:54 PM
Hi, you can only read it over I2C IP:
https://wiki.trenz-electronic.de/display/PD/TE0705+CPLD#TE0705CPLD-I2CtoGPIOregisters

CPLD Source code is available on the download area of the TE0705, so you can change it like you want.
br
John
#73
UltraScale / Re: TE0820 POR with JTAG TE079...
Last post by pema - November 24, 2023, 11:21:18 AM
Ok I got it. now. Thanks for clearing that up.  ;)
#74
UltraScale / Re: TE0820 POR with JTAG TE079...
Last post by JH - November 24, 2023, 10:42:09 AM
Hi,
Button goes directly to the 2.54 mm pinheader(so output from XMOD):
https://wiki.trenz-electronic.de/display/PD/TE0790+TRM#TE0790TRM-BlockDiagram
and to this pin(Input for CPLD). It simple xor LED so you can see that you press the button
https://wiki.trenz-electronic.de/display/PD/TE0790+CPLD+-+XMOD+Standard#TE0790CPLDXMODStandard-LED

Or see schematics and source code.

In case you didn't press button, than you can also use G as output or set G as tri state with pullup activated where you force only to GND, in this case you didn't get a electrical conflict when someone press the button.


br
John
#75
UltraScale / Re: TE0820 POR with JTAG TE079...
Last post by pema - November 24, 2023, 10:16:43 AM
Hi John,
yes I realize that you cant know what AMD over the xsct/xsdb sends.
Can you please tell me what is the purpose from the  "Button (Reset_n)" on the JTAG TE0790 2x6 Pin Header ? Input? output?
Thanks.
#76
UltraScale / Re: TE0820 POR with JTAG TE079...
Last post by JH - November 23, 2023, 01:47:51 PM
Hi,
Quote
The signal from connector J2 pin G already gives this signal right ?. How is the signal activated from the xsct/xsdb?
that's not possible or much effort. xsct/sdb use JTAG for communication. JTAG is only one channel from FTDI(translate USB to JTAG) which is routed through the CPLD. CPLD is only Levelshifter with the advance that you can change Pinout from the 2,54mm pinheader if needed.

I can't tell you what AMD transmits and how, only AMD knows that.
Instead of HW Reset U+ Zynq has mechanism to reboot via JTAG, I think that's what AMD try when they say reboot.
But there are different depths of reboot possible, not all of them reset all registers and re-evaluate the boot mode again, so this does not always work

You can check if you find some mechanism from TRM:
https://docs.xilinx.com/r/en-US/ug1085-zynq-ultrascale-trm
And U+ Zynq register description:
https://docs.xilinx.com/r/en-US/ug1087-zynq-ultrascale-registers

or you create you custom carrier with microcontroller, which can force reset.

br
John
#77
UltraScale / Re: TE0820 POR with JTAG TE079...
Last post by pema - November 23, 2023, 01:04:08 PM
Hi John,
many thanks for your reply. Yes I have a TE706 carrier. 
I will have to solder a wire RST to J2 pin G in the JTAG adapter.
The signal from connector J2 pin G already gives this signal right ?. How is the signal activated from the xsct/xsdb?

Many thanks
Have a nice weekend.
#78
UltraScale / Re: TE0820 POR with JTAG TE079...
Last post by JH - November 23, 2023, 12:25:21 PM
Hi,
CPLD Code is available on the download area, here is a link to update instructions and download:
https://wiki.trenz-electronic.de/display/PD/TE0790+CPLD+Firmware

Links to other TE0790 documentation an downloads:
https://wiki.trenz-electronic.de/display/PD/TE0790+Resources

If you get access from TE0790 programmer to the module depends on the carrier.
Which carrier did you use?
TE0706?
br
John
#79
Trenz Electronic FPGA Modules / Re: Slow QSPI on TE0720: "Warn...
Last post by M Kirberg - November 23, 2023, 11:52:05 AM
Ok...

One thing: please never mix Vivado and Petalinux versions. Though it might work this leads to problems.


Apart from that: We have no experience with the 20XX.1 versions, we only have designs for 20XX.2.
Can you try our reference design for the TE0720?
#80
Trenz Electronic FPGA Modules / Re: Slow QSPI on TE0720: "Warn...
Last post by AaronB - November 23, 2023, 04:57:07 AM
Vivado 2018.1 and Petalinux 2019.1