News:

Attention: For security reasons,please choose a user name *different* from your login name.
Also make sure to choose a secure password and change it regularly.

Main Menu

SPI_FLASH_SCK signal of TE0712-02

Started by Jo, April 10, 2017, 04:41:21 PM

Previous topic - Next topic

Jo

Hello!
I want to program SPI_FLASH using TE0712-02.
However, there is no FPGA Pin Number of SPI_FLASH_SCK signal in SPI_FLASH.
I do not know why there is no FPGA pin number.
Thank you for your help.

JH

Hi,
Flash IP use the internal startup primitive to get access to CLK Pin, there is no  exta loc necessary.
You can use our reference Design, with microblaze and QSPI interface:

On second link is a table with links to our wiki description.
br
John