News:

Attention: For security reasons,please choose a user name *different* from your login name.
Also make sure to choose a secure password and change it regularly.

Main Menu

TE0715 and te0703 led control

Started by aliakbarmerchant, March 02, 2016, 05:32:25 PM

Previous topic - Next topic

aliakbarmerchant

Hello,
  I would like to blink LEDs using AXI GPIO with petalinux. On a board te0703, there are 2 leds which can be FPGA controlled i.e D3 and D4. Can I control these LEDs using AXI GPIO in te0715 board?
In" TE0703 carrier board user manual" they have provided loc H6 aand H5 for D3 and D4 LEDs for TE0715 board. By using this loc in my constaints file in vivado ,can I use these LEDs?
Am I thinking in right direction?

Please if any1 has any idea regarding this, help me.

JH

Hello aliakbarmerchant,

This is correct. You can use these two leds on the TE0703 with the AXI GPIO from the TE0715.

aliakbarmerchant


aliakbarmerchant

Hello,

On TE0703 carrier board user manual in LEDs section this note is mentioned:

"The bank where LED's are is not powered when TE0703 is used in standalone mode. VCCIO for this bank must be supplied back to the TE0703 connectors. TE0703 header Pin J2.B1 must have some valid I/O voltage or the LED's will not be lit. To connect 3.3V to this bank install 0R or solder bridge to empty place of R26 on the bottom of the PCB."

I am using TE0715 zynq board and TE0703 carrier board with petalinux 2015.02.2 and vivado 2015.2.  I would like to know what does these note means. With my understanding, I connected external power supply of 1.8v to pin J2.B1 and tried to blink LED with AXI GPIO but I am not able to do it.

My constraints file look like this:
set_property PACKAGE_PIN H6 [get_ports {gpio_rtl_tri_o[0]}]
set_property IOSTANDARD LVCMOS18 [get_ports {gpio_rtl_tri_o[0]}]

And after booting up I tried to run with Poke Command. Ex. Poke 0x412000000 0xF. Poke is used to write at memory address.
what is that am I missing?

If any1 has any idea, please comment.


Antti Lukats

1) please do not power any VCCIO or IO port when FPGA is is not powered. This is not OK. if you want 1.8V you can get it from the TE0703, it is available as solder point, no TP unfortunatly.

2) if you want to check LED use U22, this is connected to onboard LED, when that works then continue

aliakbarmerchant

Hello Lukats,
  Please can you explain, what is U22? I didn't find any explanation regarding it on any of TE0703 and TE0715 user manual. Is it a FPGA pin connected to onboard LED of TE0715 board. and which onboard LED?

Thanking you,

Ali

Antti Lukats

pin U22 is connected to CPLD on TE0715, with default config, this pin is connected in CPLD from FPGA >> LED, so it looks like normal LED on pin U22

aliakbarmerchant

Thank you very much..will try to run  :) :)

aliakbarmerchant

Hello Mr. Lukats,
    When I use pin U22 in my constraints file, I receive a critical warning in vivado:
  [Vivado 12-1411] Cannot set LOC property of ports, Terminal led[0] cannot be placed on U22 (IOPAD_X1Y57) because the pad is already occupied by terminal DDR_dq[25] possibly due to user constraint.

Also can you please tell me at what solder point of TE0703 I can get 1.8v supply?

Thanking you,



Antti Lukats

I am sorry I looked at wrong module the U22 was wrong for TE0715.

aliakbarmerchant

Hello,
  Can you at least tell me what is needed, in TE0703 for LEDs to blink. From where should I connect 1.8v supply to J2.B1 external connector? And is there any other connection needed for LED to blink?

It would be really helpful..!!

Thanks

Antti Lukats

https://wiki.trenz-electronic.de/display/4X5B/TE0703+Carrier+Board+User+Manual

I added photo of example modification to supply VCCIO banks with on-board 1.8V on TE0703

If you only want to blink a LED, you can also control the DONE LED on TE0715, this is totally independant of any VCCIO voltages

example ref des coming

aliakbarmerchant

Thank you very much..its a lot of help..