News:

Attention: For security reasons,please choose a user name *different* from your login name.
Also make sure to choose a secure password and change it regularly.

Main Menu

TE0712: LVDS signals on +3.3V bank

Started by markiz, March 29, 2022, 09:37:35 AM

Previous topic - Next topic

markiz

Hello,

I am working on a design of a carrier board for TE0712, I am trying to use some clock capable pins for driving a number of ADCs with LVDS interface. I am able to use the banks with 2.5V supply, but the question is are the LVDS signals also available on the bank14 which is supplied from the module with fixed 3.3V supply. The ADCs have the 2.5V supply for the LVDS, I was not able to find the specifications for the LVDS signals on the 3.3V supplied bank if this is even possible.

JH

Hi,
Output is not possible but maybe input (This is probably the case with you :-)), Xilinx has some AR about this topic:
https://support.xilinx.com/s/article/43989?language=en_US
br
John

Antti Lukats

Quote from: markiz on March 29, 2022, 09:37:35 AM
Hello,

I am working on a design of a carrier board for TE0712, I am trying to use some clock capable pins for driving a number of ADCs with LVDS interface. I am able to use the banks with 2.5V supply, but the question is are the LVDS signals also available on the bank14 which is supplied from the module with fixed 3.3V supply. The ADCs have the 2.5V supply for the LVDS, I was not able to find the specifications for the LVDS signals on the 3.3V supplied bank if this is even possible.

LVDS output buffers get disabled when VCCIO is detected above 2.65V so LVDS output is not possible, input is possible without onchip termination.